Index of /pub/unix/systems/Linux/distributions/alpine/edge/testing/loongarch64
Name
Last modified
Size
Description
Parent Directory
-
nvidia-src-535.86.05-r1.apk
2025-01-09 12:46
12M
APKINDEX.tar.gz
2025-01-09 12:46
588K
zfs-src-2.2.4-r1.apk
2025-01-09 12:46
32M
ddcci-driver-linux-src-0.4.5-r1.apk
2025-01-09 12:45
19K
ruby-build-runtime-20241225.2-r0.apk
2025-01-09 07:30
1.2K
ruby-build-doc-20241225.2-r0.apk
2025-01-09 07:30
4.7K
ruby-build-20241225.2-r0.apk
2025-01-09 07:30
88K
mailutils-servers-3.18-r0.apk
2025-01-09 06:56
80K
mailutils-mh-3.18-r0.apk
2025-01-09 06:56
1.4M
mailutils-libs-3.18-r0.apk
2025-01-09 06:56
536K
mailutils-doc-3.18-r0.apk
2025-01-09 06:56
160K
mailutils-dev-3.18-r0.apk
2025-01-09 06:56
6.7M
mailutils-3.18-r0.apk
2025-01-09 06:56
232K
perl-uri-db-doc-0.23-r0.apk
2025-01-09 06:27
8.4K
perl-uri-db-0.23-r0.apk
2025-01-09 06:27
11K
gkrellm-server-2.3.11-r0.apk
2025-01-08 23:36
52K
gkrellm-lang-2.3.11-r0.apk
2025-01-08 23:36
379K
gkrellm-doc-2.3.11-r0.apk
2025-01-08 23:36
19K
gkrellm-dev-2.3.11-r0.apk
2025-01-08 23:36
17K
gkrellm-2.3.11-r0.apk
2025-01-08 23:36
402K
gmcapsule-pyc-0.9.7-r0.apk
2025-01-08 20:13
61K
gmcapsule-openrc-0.9.7-r0.apk
2025-01-08 20:13
1.9K
gmcapsule-0.9.7-r0.apk
2025-01-08 20:13
36K
virtme-ng-bash-completion-1.32-r0.apk
2025-01-08 13:20
2.6K
virtme-ng-1.32-r0.apk
2025-01-08 13:20
195K
cbqn-0.8.0-r0.apk
2025-01-07 19:01
779K
tootik-openrc-0.14.1-r0.apk
2025-01-07 18:24
3.1K
tootik-0.14.1-r0.apk
2025-01-07 18:24
3.6M
edam-doc-1.0.2-r0.apk
2025-01-07 17:28
8.3K
edam-1.0.2-r0.apk
2025-01-07 17:28
40K
aws-lc-tools-1.42.0-r0.apk
2025-01-07 09:29
122K
aws-lc-dev-1.42.0-r0.apk
2025-01-07 09:29
409K
aws-lc-1.42.0-r0.apk
2025-01-07 09:29
952K
yarn-berry-4.6.0-r0.apk
2025-01-07 07:50
970K
codeberg-cli-zsh-completion-0.4.7-r0.apk
2025-01-07 07:29
6.7K
codeberg-cli-fish-completion-0.4.7-r0.apk
2025-01-07 07:29
4.8K
codeberg-cli-bash-completion-0.4.7-r0.apk
2025-01-07 07:29
4.9K
codeberg-cli-0.4.7-r0.apk
2025-01-07 07:29
2.0M
php81-pecl-xdebug-3.4.1-r0.apk
2025-01-07 05:43
145K
cargo-expand-doc-1.0.97-r0.apk
2025-01-06 23:38
5.7K
cargo-expand-1.0.97-r0.apk
2025-01-06 23:38
2.3M
mptcpize-doc-0.13-r0.apk
2025-01-06 12:37
2.3K
mptcpize-dbg-0.13-r0.apk
2025-01-06 12:37
14K
mptcpize-0.13-r0.apk
2025-01-06 12:37
20K
mptcpd-openrc-0.13-r0.apk
2025-01-06 12:37
2.0K
mptcpd-doc-0.13-r0.apk
2025-01-06 12:37
3.0K
mptcpd-dev-0.13-r0.apk
2025-01-06 12:37
11K
mptcpd-dbg-0.13-r0.apk
2025-01-06 12:37
120K
mptcpd-0.13-r0.apk
2025-01-06 12:37
53K
mptcp-get-debug-0.13-r0.apk
2025-01-06 12:37
2.5K
py3-pathvalidate-pyc-3.2.3-r0.apk
2025-01-06 11:40
33K
py3-pathvalidate-3.2.3-r0.apk
2025-01-06 11:40
19K
homebank-lang-5.8.6-r0.apk
2025-01-06 00:13
920K
homebank-5.8.6-r0.apk
2025-01-06 00:13
1.9M
vcmi-1.6.2-r0.apk
2025-01-05 22:56
13M
py3-sphinx-autodoc-typehints-pyc-3.0.0-r0.apk
2025-01-05 19:09
28K
py3-sphinx-autodoc-typehints-3.0.0-r0.apk
2025-01-05 19:09
19K
perl-datetime-format-rfc3339-doc-1.10.0-r0.apk
2025-01-05 16:08
4.1K
perl-datetime-format-rfc3339-1.10.0-r0.apk
2025-01-05 16:08
4.4K
perl-datetime-format-atom-doc-1.8.0-r0.apk
2025-01-05 16:08
3.8K
perl-datetime-format-atom-1.8.0-r0.apk
2025-01-05 16:08
3.2K
bomctl-zsh-completion-0.1.9-r1.apk
2025-01-05 03:01
4.0K
bomctl-fish-completion-0.1.9-r1.apk
2025-01-05 03:01
4.3K
bomctl-bash-completion-0.1.9-r1.apk
2025-01-05 03:01
5.1K
bomctl-0.1.9-r1.apk
2025-01-05 03:01
8.8M
templ-0.3.819-r0.apk
2025-01-05 03:00
4.7M
xemu-0.8.5-r0.apk
2025-01-05 02:38
5.3M
refine-0.1.1-r0.apk
2025-01-04 23:30
23K
ff2mpv-rust-doc-1.1.5-r0.apk
2025-01-04 23:30
14K
ff2mpv-rust-1.1.5-r0.apk
2025-01-04 23:30
213K
desync-0.9.6-r0.apk
2025-01-04 23:20
7.1M
dnscontrol-doc-4.15.3-r0.apk
2025-01-04 22:57
2.2K
dnscontrol-4.15.3-r0.apk
2025-01-04 22:57
14M
twinkle-doc-1.10.3-r3.apk
2025-01-04 22:55
3.5K
twinkle-1.10.3-r3.apk
2025-01-04 22:55
2.4M
libzrtpcpp-dev-4.7.0-r0.apk
2025-01-04 22:55
38K
libzrtpcpp-4.7.0-r0.apk
2025-01-04 22:55
165K
py3-rtree-pyc-1.3.0-r0.apk
2025-01-04 06:20
44K
py3-rtree-1.3.0-r0.apk
2025-01-04 06:20
25K
litehtml-static-0.9-r1.apk
2025-01-04 05:33
1.0M
litehtml-dev-0.9-r1.apk
2025-01-04 05:33
42K
litehtml-0.9-r1.apk
2025-01-04 05:33
325K
plfit-static-1.0.1-r0.apk
2025-01-04 04:47
83K
plfit-libs-1.0.1-r0.apk
2025-01-04 04:47
54K
plfit-dev-1.0.1-r0.apk
2025-01-04 04:47
6.5K
plfit-1.0.1-r0.apk
2025-01-04 04:47
68K
jedi-language-server-pyc-0.43.1-r0.apk
2025-01-04 02:41
37K
jedi-language-server-0.43.1-r0.apk
2025-01-04 02:41
26K
brogue-1.14.1-r1.apk
2025-01-04 02:18
875K
tree-sitter-ssh-client-config-2025.1.2-r0.apk
2025-01-04 01:54
85K
telegram-tdlib-static-1.8.42-r0.apk
2025-01-03 20:11
27M
telegram-tdlib-dev-1.8.42-r0.apk
2025-01-03 20:11
177K
telegram-tdlib-1.8.42-r0.apk
2025-01-03 20:11
7.1M
telegram-bot-api-8.2-r0.apk
2025-01-03 19:57
7.0M
pfetch-doc-1.7.0-r0.apk
2025-01-03 19:51
5.5K
pfetch-1.7.0-r0.apk
2025-01-03 19:51
23K
ode-0.16.5-r0.apk
2025-01-03 19:37
886K
mp3gain-1.6.2-r3.apk
2025-01-03 17:48
32K
dsnet-doc-0.7.3-r6.apk
2025-01-03 17:48
9.1K
dsnet-0.7.3-r6.apk
2025-01-03 17:48
3.6M
wgcf-zsh-completion-2.2.24-r0.apk
2025-01-03 16:52
4.0K
wgcf-fish-completion-2.2.24-r0.apk
2025-01-03 16:52
4.3K
wgcf-bash-completion-2.2.24-r0.apk
2025-01-03 16:52
5.0K
wgcf-2.2.24-r0.apk
2025-01-03 16:52
3.7M
undock-0.9.0-r0.apk
2025-01-03 16:52
9.5M
py3-mopidy-local-pyc-3.3.0-r0.apk
2025-01-03 16:52
34K
py3-mopidy-local-3.3.0-r0.apk
2025-01-03 16:52
28K
protoc-gen-go-1.36.1-r0.apk
2025-01-03 16:52
1.9M
popeye-0.21.6-r0.apk
2025-01-03 16:52
25M
php84-pecl-solr-2.8.0-r0.apk
2025-01-03 16:52
93K
perl-mce-doc-1.901-r0.apk
2025-01-03 16:52
170K
perl-mce-1.901-r0.apk
2025-01-03 16:52
134K
lyrics-in-terminal-1.7.0-r0.apk
2025-01-03 16:52
38K
lomiri-schemas-0.1.6-r0.apk
2025-01-03 16:52
11K
linuxkit-doc-1.5.3-r0.apk
2025-01-03 16:52
10K
linuxkit-1.5.3-r0.apk
2025-01-03 16:52
12M
kubeone-zsh-completion-1.9.1-r0.apk
2025-01-03 16:52
4.0K
kubeone-doc-1.9.1-r0.apk
2025-01-03 16:52
20K
kubeone-bash-completion-1.9.1-r0.apk
2025-01-03 16:52
6.6K
kubeone-1.9.1-r0.apk
2025-01-03 16:52
25M
kopia-zsh-completion-0.18.2-r0.apk
2025-01-03 16:52
1.7K
kopia-bash-completion-0.18.2-r0.apk
2025-01-03 16:52
1.7K
kopia-0.18.2-r0.apk
2025-01-03 16:52
16M
hilbish-doc-2.3.4-r0.apk
2025-01-03 16:52
25K
hilbish-2.3.4-r0.apk
2025-01-03 16:52
3.3M
gcli-doc-2.5.0-r1.apk
2025-01-03 16:52
28K
gcli-2.5.0-r1.apk
2025-01-03 16:52
122K
fheroes2-lang-1.1.5-r0.apk
2025-01-03 16:52
1.7M
fheroes2-1.1.5-r0.apk
2025-01-03 16:52
1.6M
elementary-photos-lang-8.0.1-r0.apk
2025-01-03 16:52
1.0M
elementary-photos-8.0.1-r0.apk
2025-01-03 16:52
1.1M
buf-zsh-completion-1.48.0-r0.apk
2025-01-03 16:52
4.0K
buf-protoc-plugins-1.48.0-r0.apk
2025-01-03 16:52
12M
buf-fish-completion-1.48.0-r0.apk
2025-01-03 16:52
4.3K
buf-bash-completion-1.48.0-r0.apk
2025-01-03 16:52
8.5K
buf-1.48.0-r0.apk
2025-01-03 16:52
12M
bootchart2-0.14.9-r0.apk
2025-01-03 16:52
137K
bindfs-doc-1.17.7-r0.apk
2025-01-03 16:52
9.0K
bindfs-1.17.7-r0.apk
2025-01-03 16:52
22K
tiny-doc-0.13.0-r0.apk
2025-01-01 19:11
5.5K
tiny-0.13.0-r0.apk
2025-01-01 19:11
574K
barman-pyc-3.12.1-r0.apk
2024-12-31 17:20
543K
barman-doc-3.12.1-r0.apk
2024-12-31 17:20
77K
barman-bash-completion-3.12.1-r0.apk
2024-12-31 17:20
1.6K
barman-3.12.1-r0.apk
2024-12-31 17:20
341K
perl-datetime-format-flexible-doc-0.37-r0.apk
2024-12-31 14:28
12K
perl-datetime-format-flexible-0.37-r0.apk
2024-12-31 14:28
18K
eclib-static-20241112-r0.apk
2024-12-31 14:28
20M
eclib-libs-20241112-r0.apk
2024-12-31 14:28
1.2M
eclib-doc-20241112-r0.apk
2024-12-31 14:28
28K
eclib-dev-20241112-r0.apk
2024-12-31 14:28
94K
eclib-20241112-r0.apk
2024-12-31 14:28
343K
geoclue-stumbler-0.2.0-r0.apk
2024-12-31 13:56
27K
perl-getopt-long-descriptive-doc-0.116-r0.apk
2024-12-31 10:40
11K
perl-getopt-long-descriptive-0.116-r0.apk
2024-12-31 10:40
15K
soapy-bladerf-0.4.2-r0.apk
2024-12-31 02:11
48K
py3-pbs-installer-pyc-2024.12.19-r0.apk
2024-12-31 02:10
57K
py3-pbs-installer-2024.12.19-r0.apk
2024-12-31 02:10
51K
fungw-tcl-1.2.1-r0.apk
2024-12-30 10:48
19K
fungw-python3-1.2.1-r0.apk
2024-12-30 10:48
38K
fungw-perl-1.2.1-r0.apk
2024-12-30 10:48
68K
fungw-mujs-1.2.1-r0.apk
2024-12-30 10:48
24K
fungw-lua-1.2.1-r0.apk
2024-12-30 10:48
22K
fungw-fawk-1.2.1-r0.apk
2024-12-30 10:48
213K
fungw-duktape-1.2.1-r0.apk
2024-12-30 10:48
25K
fungw-doc-1.2.1-r0.apk
2024-12-30 10:48
13K
fungw-dev-1.2.1-r0.apk
2024-12-30 10:48
7.6K
fungw-cli-1.2.1-r0.apk
2024-12-30 10:48
36K
fungw-c-1.2.1-r0.apk
2024-12-30 10:48
9.8K
fungw-1.2.1-r0.apk
2024-12-30 10:48
14K
perl-sql-abstract-more-doc-1.43-r0.apk
2024-12-30 07:37
17K
perl-sql-abstract-more-1.43-r0.apk
2024-12-30 07:37
27K
perl-dbix-lite-doc-0.36-r0.apk
2024-12-30 07:37
18K
perl-dbix-lite-0.36-r0.apk
2024-12-30 07:37
18K
perl-dbix-connector-doc-0.60-r0.apk
2024-12-30 07:37
22K
perl-dbix-connector-0.60-r0.apk
2024-12-30 07:37
15K
repology-cli-doc-1.7.3-r0.apk
2024-12-30 05:52
4.4K
repology-cli-1.7.3-r0.apk
2024-12-30 05:52
136K
transito-doc-0.9.1-r0.apk
2024-12-30 05:01
755K
transito-0.9.1-r0.apk
2024-12-30 05:01
8.0M
mobroute-doc-0.9.0-r0.apk
2024-12-30 04:58
1.3M
mobroute-0.9.0-r0.apk
2024-12-30 04:58
4.2M
nwg-panel-pyc-0.9.59-r0.apk
2024-12-29 22:51
250K
nwg-panel-doc-0.9.59-r0.apk
2024-12-29 22:51
4.2K
nwg-panel-0.9.59-r0.apk
2024-12-29 22:51
274K
sciteco-gtk-2.3.0-r0.apk
2024-12-29 09:46
1.6M
sciteco-doc-2.3.0-r0.apk
2024-12-29 09:46
111K
sciteco-common-2.3.0-r0.apk
2024-12-29 09:46
150K
sciteco-2.3.0-r0.apk
2024-12-29 09:46
1.4M
cargo-shuttle-zsh-completion-0.49.0-r0.apk
2024-12-28 19:43
7.8K
cargo-shuttle-fish-completion-0.49.0-r0.apk
2024-12-28 19:43
8.3K
cargo-shuttle-doc-0.49.0-r0.apk
2024-12-28 19:43
9.5K
cargo-shuttle-bash-completion-0.49.0-r0.apk
2024-12-28 19:43
5.2K
cargo-shuttle-0.49.0-r0.apk
2024-12-28 19:43
5.4M
py3-sphobjinv-pyc-2.3.1.2-r0.apk
2024-12-28 19:14
51K
py3-sphobjinv-2.3.1.2-r0.apk
2024-12-28 19:14
39K
py3-recurring-ical-events-pyc-3.4.0-r0.apk
2024-12-28 19:13
33K
py3-recurring-ical-events-3.4.0-r0.apk
2024-12-28 19:13
31K
nlopt-octave-2.9.1-r0.apk
2024-12-28 06:46
27K
nlopt-guile-2.9.1-r0.apk
2024-12-28 06:46
42K
nlopt-doc-2.9.1-r0.apk
2024-12-28 06:46
23K
nlopt-dev-2.9.1-r0.apk
2024-12-28 06:46
12K
nlopt-2.9.1-r0.apk
2024-12-28 06:46
187K
perl-dancer2-doc-1.1.2-r0.apk
2024-12-28 05:55
301K
perl-dancer2-1.1.2-r0.apk
2024-12-28 05:55
163K
perl-cli-osprey-doc-0.08-r0.apk
2024-12-28 05:55
12K
perl-cli-osprey-0.08-r0.apk
2024-12-28 05:55
13K
tic-80-1.1.2837-r5.apk
2024-12-27 23:34
14M
fuzzylite-libs-6.0-r1.apk
2024-12-27 23:13
354K
fuzzylite-doc-6.0-r1.apk
2024-12-27 23:13
2.1K
fuzzylite-dev-6.0-r1.apk
2024-12-27 23:13
68K
fuzzylite-6.0-r1.apk
2024-12-27 23:13
4.1K
libdng-utils-0.2.1-r0.apk
2024-12-27 23:06
6.0K
libdng-doc-0.2.1-r0.apk
2024-12-27 23:06
4.2K
libdng-dev-0.2.1-r0.apk
2024-12-27 23:06
3.2K
libdng-0.2.1-r0.apk
2024-12-27 23:06
12K
atools-go-doc-0.2.1-r1.apk
2024-12-27 19:02
2.2K
atools-go-0.2.1-r1.apk
2024-12-27 19:02
1.0M
strfry-openrc-1.0.3-r0.apk
2024-12-27 16:04
2.0K
strfry-1.0.3-r0.apk
2024-12-27 16:04
1.7M
gossip-doc-0.13.0-r0.apk
2024-12-27 16:04
17K
gossip-0.13.0-r0.apk
2024-12-27 16:04
28M
perl-plack-middleware-removeredundantbody-doc-0.09-r0.apk
2024-12-27 15:02
3.1K
perl-plack-middleware-removeredundantbody-0.09-r0.apk
2024-12-27 15:02
2.5K
py3-gnucash-5.10-r0.apk
2024-12-27 12:50
317K
gnucash-lang-5.10-r0.apk
2024-12-27 12:50
8.2M
gnucash-doc-5.10-r0.apk
2024-12-27 12:50
1.4M
gnucash-dev-5.10-r0.apk
2024-12-27 12:50
302K
gnucash-5.10-r0.apk
2024-12-27 12:50
8.3M
catfish-pyc-4.20.0-r0.apk
2024-12-27 11:39
101K
catfish-lang-4.20.0-r0.apk
2024-12-27 11:39
162K
catfish-doc-4.20.0-r0.apk
2024-12-27 11:39
13K
catfish-4.20.0-r0.apk
2024-12-27 11:39
126K
typlite-0.12.14-r0.apk
2024-12-27 02:35
13M
tinymist-0.12.14-r0.apk
2024-12-27 02:35
17M
mdbook-alerts-0.6.10-r0.apk
2024-12-27 02:35
750K
mdbook-admonish-1.18.0-r0.apk
2024-12-27 02:35
1.0M
sponskrub-3.7.2-r8.apk
2024-12-26 18:07
191K
perl-plack-middleware-fixmissingbodyinredirect-doc-0.12-r0.apk
2024-12-26 10:36
3.1K
perl-plack-middleware-fixmissingbodyinredirect-0.12-r0.apk
2024-12-26 10:36
3.1K
py3-pysubs2-pyc-1.8.0-r0.apk
2024-12-25 23:09
68K
py3-pysubs2-1.8.0-r0.apk
2024-12-25 23:09
36K
octoprint-pyc-1.10.3-r0.apk
2024-12-25 23:04
1.2M
octoprint-openrc-1.10.3-r0.apk
2024-12-25 23:04
1.7K
octoprint-1.10.3-r0.apk
2024-12-25 23:04
3.0M
xonsh-pyc-0.19.0-r0.apk
2024-12-25 20:27
1.0M
xonsh-0.19.0-r0.apk
2024-12-25 20:27
585K
rook-getattr-0.2.0-r1.apk
2024-12-25 20:27
2.6K
rook-doc-0.2.0-r1.apk
2024-12-25 20:27
23K
rook-autotype-0.2.0-r1.apk
2024-12-25 20:27
3.7K
rook-0.2.0-r1.apk
2024-12-25 20:27
1.8M
qbittorrent-cli-2.1.0-r0.apk
2024-12-25 20:27
5.1M
py3-minio-pyc-7.2.13-r0.apk
2024-12-25 20:27
160K
py3-minio-7.2.13-r0.apk
2024-12-25 20:27
76K
py3-limits-pyc-3.14.1-r0.apk
2024-12-25 20:27
71K
py3-limits-3.14.1-r0.apk
2024-12-25 20:27
33K
makeclapman-doc-2.4.4-r0.apk
2024-12-25 20:27
4.1K
makeclapman-2.4.4-r0.apk
2024-12-25 20:27
1.2M
lgogdownloader-doc-3.16-r0.apk
2024-12-25 20:27
8.5K
lgogdownloader-3.16-r0.apk
2024-12-25 20:27
369K
dex-doc-0.10.1-r0.apk
2024-12-25 20:27
6.3K
dex-0.10.1-r0.apk
2024-12-25 20:27
8.6K
rime-ls-0.4.1-r0.apk
2024-12-25 16:44
1.1M
mautrix-bluesky-openrc-0.1.0-r0.apk
2024-12-24 23:56
1.9K
mautrix-bluesky-doc-0.1.0-r0.apk
2024-12-24 23:56
13K
mautrix-bluesky-0.1.0-r0.apk
2024-12-24 23:56
8.1M
mautrix-twitter-openrc-0.2.0-r0.apk
2024-12-24 23:55
1.9K
mautrix-twitter-doc-0.2.0-r0.apk
2024-12-24 23:55
13K
mautrix-twitter-0.2.0-r0.apk
2024-12-24 23:55
6.0M
gdb-dashboard-doc-0.17.3-r0.apk
2024-12-24 23:53
3.0K
gdb-dashboard-0.17.3-r0.apk
2024-12-24 23:53
23K
font-openmoji-15.0.0-r0.apk
2024-12-24 23:51
3.5M
pari-libs-2.17.1-r0.apk
2024-12-24 18:18
4.5M
pari-doc-2.17.1-r0.apk
2024-12-24 18:18
924K
pari-dev-2.17.1-r0.apk
2024-12-24 18:18
106K
pari-2.17.1-r0.apk
2024-12-24 18:18
589K
goshs-doc-1.0.1-r0.apk
2024-12-24 12:08
2.2K
goshs-1.0.1-r0.apk
2024-12-24 12:08
5.4M
passage-zsh-completion-1.7.4_alpha2-r0.apk
2024-12-24 12:03
3.0K
passage-fish-completion-1.7.4_alpha2-r0.apk
2024-12-24 12:03
2.7K
passage-bash-completion-1.7.4_alpha2-r0.apk
2024-12-24 12:03
3.0K
passage-1.7.4_alpha2-r0.apk
2024-12-24 12:03
8.1K
nzbget-openrc-24.5-r0.apk
2024-12-24 11:41
2.0K
nzbget-24.5-r0.apk
2024-12-24 11:41
4.8M
laminar-zsh-completion-1.3-r5.apk
2024-12-24 11:22
1.8K
laminar-openrc-1.3-r5.apk
2024-12-24 11:22
1.8K
laminar-doc-1.3-r5.apk
2024-12-24 11:22
3.7K
laminar-bash-completion-1.3-r5.apk
2024-12-24 11:22
1.8K
laminar-1.3-r5.apk
2024-12-24 11:22
294K
warpinator-nemo-1.8.7-r0.apk
2024-12-24 11:09
4.1K
warpinator-lang-1.8.7-r0.apk
2024-12-24 11:09
224K
warpinator-1.8.7-r0.apk
2024-12-24 11:09
215K
mint-y-icons-doc-1.8.0-r0.apk
2024-12-24 11:09
11K
mint-y-icons-1.8.0-r0.apk
2024-12-24 11:09
72M
mint-x-icons-doc-1.7.2-r0.apk
2024-12-24 11:07
7.4K
mint-x-icons-1.7.2-r0.apk
2024-12-24 11:07
22M
xed-python-3.8.1-r0.apk
2024-12-24 07:13
24K
xed-lang-3.8.1-r0.apk
2024-12-24 07:13
2.1M
xed-doc-3.8.1-r0.apk
2024-12-24 07:13
971K
xed-dev-3.8.1-r0.apk
2024-12-24 07:13
14K
xed-3.8.1-r0.apk
2024-12-24 07:13
1.1M
py3-xsdata-pyc-24.12-r0.apk
2024-12-23 22:49
389K
py3-xsdata-24.12-r0.apk
2024-12-23 22:49
189K
py3-qbittorrent-api-pyc-2024.12.71-r0.apk
2024-12-23 22:33
94K
py3-qbittorrent-api-doc-2024.12.71-r0.apk
2024-12-23 22:33
31K
py3-qbittorrent-api-2024.12.71-r0.apk
2024-12-23 22:33
57K
sing-geosite-20241221105938-r0.apk
2024-12-23 05:08
1.1M
sing-geoip-20241212-r0.apk
2024-12-23 05:08
1.6M
sing-box-zsh-completion-1.10.5-r0.apk
2024-12-23 05:08
4.0K
sing-box-openrc-1.10.5-r0.apk
2024-12-23 05:08
2.0K
sing-box-fish-completion-1.10.5-r0.apk
2024-12-23 05:08
4.3K
sing-box-bash-completion-1.10.5-r0.apk
2024-12-23 05:08
5.1K
sing-box-1.10.5-r0.apk
2024-12-23 05:08
11M
reprotest-pyc-0.7.29-r0.apk
2024-12-23 05:07
103K
reprotest-0.7.29-r0.apk
2024-12-23 05:07
80K
pitivi-pyc-2023.03-r2.apk
2024-12-22 23:04
700K
pitivi-lang-2023.03-r2.apk
2024-12-22 23:04
678K
pitivi-2023.03-r2.apk
2024-12-22 23:04
2.7M
py3-marshmallow-pyc-3.23.2-r0.apk
2024-12-22 20:22
82K
py3-marshmallow-3.23.2-r0.apk
2024-12-22 20:22
46K
supersonik-0.1.0-r1.apk
2024-12-22 19:36
1.1M
amber-mpris-dev-1.2.9-r0.apk
2024-12-22 17:54
6.7K
amber-mpris-1.2.9-r0.apk
2024-12-22 17:54
214K
tk9-doc-9.0.1-r0.apk
2024-12-22 07:17
1.3M
tk9-dev-9.0.1-r0.apk
2024-12-22 07:17
82K
tk9-9.0.1-r0.apk
2024-12-22 07:17
873K
tcl9-doc-9.0.1-r0.apk
2024-12-22 07:17
1.4M
tcl9-dev-9.0.1-r0.apk
2024-12-22 07:17
185K
tcl9-9.0.1-r0.apk
2024-12-22 07:17
1.9M
welle-io-doc-2.6-r0.apk
2024-12-22 01:41
4.0K
welle-io-2.6-r0.apk
2024-12-22 01:41
388K
welle-cli-2.6-r0.apk
2024-12-22 01:41
306K
waycheck-1.5.0-r0.apk
2024-12-22 01:41
42K
komikku-pyc-1.66.0-r0.apk
2024-12-21 19:03
731K
komikku-lang-1.66.0-r0.apk
2024-12-21 19:03
231K
komikku-1.66.0-r0.apk
2024-12-21 19:03
1.0M
py3-fastavro-pyc-1.10.0-r0.apk
2024-12-21 11:31
82K
py3-fastavro-1.10.0-r0.apk
2024-12-21 11:31
419K
swi-prolog-xpce-doc-9.2.9-r0.apk
2024-12-21 11:08
1.0M
swi-prolog-xpce-9.2.9-r0.apk
2024-12-21 11:08
922K
swi-prolog-pyc-9.2.9-r0.apk
2024-12-21 11:08
22K
swi-prolog-doc-9.2.9-r0.apk
2024-12-21 11:08
2.1M
swi-prolog-9.2.9-r0.apk
2024-12-21 11:08
5.0M
py3-pyinstrument-pyc-5.0.0-r0.apk
2024-12-21 06:55
98K
py3-pyinstrument-5.0.0-r0.apk
2024-12-21 06:55
106K
sonar-scanner-6.2.1.4610-r0.apk
2024-12-20 23:07
11M
repo-doc-2.50.1-r0.apk
2024-12-20 23:07
38K
repo-2.50.1-r0.apk
2024-12-20 23:07
17K
bird3-openrc-3.0.0-r0.apk
2024-12-20 18:10
2.3K
bird3-dbg-3.0.0-r0.apk
2024-12-20 18:10
2.2M
bird3-3.0.0-r0.apk
2024-12-20 18:10
574K
yazi-zsh-completion-0.4.2-r0.apk
2024-12-20 16:56
2.1K
yazi-fish-completion-0.4.2-r0.apk
2024-12-20 16:56
1.8K
yazi-doc-0.4.2-r0.apk
2024-12-20 16:56
2.2K
yazi-cli-0.4.2-r0.apk
2024-12-20 16:56
1.0M
yazi-bash-completion-0.4.2-r0.apk
2024-12-20 16:56
2.0K
yazi-0.4.2-r0.apk
2024-12-20 16:56
4.5M
prometheus-smartctl-exporter-openrc-0.13.0-r0.apk
2024-12-20 16:45
1.8K
prometheus-smartctl-exporter-0.13.0-r0.apk
2024-12-20 16:45
4.2M
py3-b2sdk-pyc-2.7.0-r0.apk
2024-12-20 07:00
402K
py3-b2sdk-2.7.0-r0.apk
2024-12-20 07:00
215K
dockerize-0.9.0-r0.apk
2024-12-20 06:53
2.9M
rapidfuzz-3.2.0-r0.apk
2024-12-20 06:38
62K
py3-levenshtein-pyc-0.26.1-r0.apk
2024-12-20 06:38
9.3K
py3-levenshtein-0.26.1-r0.apk
2024-12-20 06:38
161K
perl-ffi-platypus-doc-2.10-r0.apk
2024-12-20 06:38
146K
perl-ffi-platypus-2.10-r0.apk
2024-12-20 06:38
179K
cortex-tenant-openrc-1.15.2-r1.apk
2024-12-20 06:38
2.0K
cortex-tenant-1.15.2-r1.apk
2024-12-20 06:38
3.8M
smplxmpp-doc-0.9.3-r4.apk
2024-12-18 13:18
25K
smplxmpp-0.9.3-r4.apk
2024-12-18 13:18
153K
freecad-doc-1.0.0-r1.apk
2024-12-18 13:18
13K
freecad-dev-1.0.0-r1.apk
2024-12-18 13:18
1.4M
freecad-1.0.0-r1.apk
2024-12-18 13:18
107M
cherrytree-lang-1.2.0-r3.apk
2024-12-18 13:18
846K
cherrytree-doc-1.2.0-r3.apk
2024-12-18 13:18
2.1K
cherrytree-1.2.0-r3.apk
2024-12-18 13:18
2.7M
wlvncc-0.0.0_git20241130-r0.apk
2024-12-17 22:50
76K
php81-pecl-swoole-dev-6.0.0-r0.apk
2024-12-17 05:59
183K
php81-pecl-swoole-6.0.0-r0.apk
2024-12-17 05:59
897K
mautrix-discord-openrc-0.7.2-r0.apk
2024-12-17 04:55
1.9K
mautrix-discord-doc-0.7.2-r0.apk
2024-12-17 04:55
13K
mautrix-discord-0.7.2-r0.apk
2024-12-17 04:55
6.1M
nicotine-plus-pyc-3.3.7-r0.apk
2024-12-16 15:22
781K
nicotine-plus-lang-3.3.7-r0.apk
2024-12-16 15:22
661K
nicotine-plus-doc-3.3.7-r0.apk
2024-12-16 15:22
2.5K
nicotine-plus-3.3.7-r0.apk
2024-12-16 15:22
1.5M
py3-proxmoxer-pyc-2.2.0-r0.apk
2024-12-16 12:36
28K
py3-proxmoxer-2.2.0-r0.apk
2024-12-16 12:36
17K
meli-doc-0.8.10-r0.apk
2024-12-16 11:18
47K
meli-0.8.10-r0.apk
2024-12-16 11:18
4.7M
py3-apsw-pyc-3.47.2.0-r0.apk
2024-12-16 08:31
516K
py3-apsw-3.47.2.0-r0.apk
2024-12-16 08:31
833K
py3-dep-logic-pyc-0.4.10-r0.apk
2024-12-15 23:01
53K
py3-dep-logic-0.4.10-r0.apk
2024-12-15 23:01
28K
mympd-doc-19.0.1-r0.apk
2024-12-15 23:00
48K
mympd-19.0.1-r0.apk
2024-12-15 23:00
898K
nb-zsh-completion-7.15.0-r0.apk
2024-12-15 22:49
2.9K
nb-full-7.15.0-r0.apk
2024-12-15 22:49
1.2K
nb-fish-completion-7.15.0-r0.apk
2024-12-15 22:49
2.7K
nb-doc-7.15.0-r0.apk
2024-12-15 22:49
76K
nb-bash-completion-7.15.0-r0.apk
2024-12-15 22:49
2.9K
nb-7.15.0-r0.apk
2024-12-15 22:49
151K
gamescope-3.15.15-r0.apk
2024-12-15 22:48
1.1M
throttled-pyc-0.10.0-r1.apk
2024-12-15 20:24
28K
throttled-openrc-0.10.0-r1.apk
2024-12-15 20:24
1.6K
throttled-0.10.0-r1.apk
2024-12-15 20:24
15K
zot-openrc-2.1.1-r0.apk
2024-12-15 20:22
2.0K
zot-exporter-2.1.1-r0.apk
2024-12-15 20:22
4.3M
zot-doc-2.1.1-r0.apk
2024-12-15 20:22
7.6K
zot-cli-zsh-completion-2.1.1-r0.apk
2024-12-15 20:22
4.0K
zot-cli-fish-completion-2.1.1-r0.apk
2024-12-15 20:22
4.3K
zot-cli-bash-completion-2.1.1-r0.apk
2024-12-15 20:22
5.0K
zot-cli-2.1.1-r0.apk
2024-12-15 20:22
8.3M
zot-2.1.1-r0.apk
2024-12-15 20:22
61M
git-cola-pyc-4.10.1-r0.apk
2024-12-15 20:05
770K
git-cola-doc-4.10.1-r0.apk
2024-12-15 20:05
5.8K
git-cola-4.10.1-r0.apk
2024-12-15 20:05
860K
perl-template-tiny-doc-1.14-r0.apk
2024-12-15 11:09
4.7K
perl-template-tiny-1.14-r0.apk
2024-12-15 11:09
5.2K
py3-jaraco.stream-pyc-3.0.4-r0.apk
2024-12-14 23:50
8.1K
py3-jaraco.stream-3.0.4-r0.apk
2024-12-14 23:50
6.7K
uxplay-doc-1.71-r0.apk
2024-12-14 23:36
4.3K
uxplay-1.71-r0.apk
2024-12-14 23:36
217K
virter-zsh-completion-0.28.1-r0.apk
2024-12-14 22:14
4.0K
virter-fish-completion-0.28.1-r0.apk
2024-12-14 22:14
4.3K
virter-doc-0.28.1-r0.apk
2024-12-14 22:14
15K
virter-bash-completion-0.28.1-r0.apk
2024-12-14 22:14
5.0K
virter-0.28.1-r0.apk
2024-12-14 22:14
5.4M
simpleble-dev-0.6.1-r2.apk
2024-12-14 22:14
19K
simpleble-0.6.1-r2.apk
2024-12-14 22:14
1.2K
ruby-syslog-0.2.0-r0.apk
2024-12-14 22:14
8.7K
restinio-dev-0.6.19-r1.apk
2024-12-14 22:14
268K
restinio-0.6.19-r1.apk
2024-12-14 22:14
1.2K
regal-zsh-completion-0.29.2-r0.apk
2024-12-14 22:14
4.0K
regal-fish-completion-0.29.2-r0.apk
2024-12-14 22:14
4.3K
regal-bash-completion-0.29.2-r0.apk
2024-12-14 22:14
5.0K
regal-0.29.2-r0.apk
2024-12-14 22:14
9.3M
py3-opendht-3.1.7-r5.apk
2024-12-14 22:14
151K
pebble-le-doc-0.3.0-r2.apk
2024-12-14 22:14
3.7K
pebble-le-dev-0.3.0-r2.apk
2024-12-14 22:14
67K
pebble-le-0.3.0-r2.apk
2024-12-14 22:14
64K
opendht-libs-3.1.7-r5.apk
2024-12-14 22:14
587K
opendht-doc-3.1.7-r5.apk
2024-12-14 22:14
3.0K
opendht-dev-3.1.7-r5.apk
2024-12-14 22:14
71K
opendht-3.1.7-r5.apk
2024-12-14 22:14
190K
ntpd-rs-openrc-1.4.0-r0.apk
2024-12-14 22:14
1.8K
ntpd-rs-doc-1.4.0-r0.apk
2024-12-14 22:14
23K
ntpd-rs-1.4.0-r0.apk
2024-12-14 22:14
2.4M
log4cxx-dev-1.1.0-r2.apk
2024-12-14 22:14
135K
log4cxx-1.1.0-r2.apk
2024-12-14 22:14
526K
libsimplebluez-0.6.1-r2.apk
2024-12-14 22:14
129K
libsimpleble-c-0.6.1-r2.apk
2024-12-14 22:14
15K
libsimpleble-0.6.1-r2.apk
2024-12-14 22:14
183K
libsemigroups-static-2.7.3-r1.apk
2024-12-14 22:14
2.9M
libsemigroups-dev-2.7.3-r1.apk
2024-12-14 22:14
335K
libsemigroups-2.7.3-r1.apk
2024-12-14 22:14
777K
knxd-dev-0.14.61-r1.apk
2024-12-14 22:14
35K
knxd-0.14.61-r1.apk
2024-12-14 22:14
412K
knative-client-zsh-completion-1.16.1-r0.apk
2024-12-14 22:14
4.0K
knative-client-fish-completion-1.16.1-r0.apk
2024-12-14 22:14
4.2K
knative-client-bash-completion-1.16.1-r0.apk
2024-12-14 22:14
10K
knative-client-1.16.1-r0.apk
2024-12-14 22:14
20M
jaq-doc-2.0.1-r0.apk
2024-12-14 22:14
2.2K
jaq-2.0.1-r0.apk
2024-12-14 22:14
624K
grpcurl-1.9.2-r0.apk
2024-12-14 22:14
7.6M
azote-pyc-1.14.0-r0.apk
2024-12-14 22:14
98K
azote-1.14.0-r0.apk
2024-12-14 22:14
7.6M
jwt-cli-6.2.0-r0.apk
2024-12-14 19:21
851K
tealdeer-zsh-completion-1.7.1-r0.apk
2024-12-14 19:19
2.3K
tealdeer-fish-completion-1.7.1-r0.apk
2024-12-14 19:19
2.2K
tealdeer-bash-completion-1.7.1-r0.apk
2024-12-14 19:19
2.0K
tealdeer-1.7.1-r0.apk
2024-12-14 19:19
894K
primesieve-libs-12.6-r0.apk
2024-12-14 19:19
115K
primesieve-doc-12.6-r0.apk
2024-12-14 19:19
4.0K
primesieve-dev-12.6-r0.apk
2024-12-14 19:19
2.6M
primesieve-12.6-r0.apk
2024-12-14 19:19
43K
virtctl-zsh-completion-1.4.0-r0.apk
2024-12-14 19:12
4.0K
virtctl-fish-completion-1.4.0-r0.apk
2024-12-14 19:12
4.3K
virtctl-bash-completion-1.4.0-r0.apk
2024-12-14 19:12
5.1K
virtctl-1.4.0-r0.apk
2024-12-14 19:12
14M
ticker-zsh-completion-4.7.1-r0.apk
2024-12-14 19:12
3.7K
ticker-fish-completion-4.7.1-r0.apk
2024-12-14 19:12
3.8K
ticker-bash-completion-4.7.1-r0.apk
2024-12-14 19:12
4.5K
ticker-4.7.1-r0.apk
2024-12-14 19:12
3.7M
cargo-update-doc-16.0.0-r0.apk
2024-12-14 19:12
8.2K
cargo-update-16.0.0-r0.apk
2024-12-14 19:12
1.1M
mdcat-zsh-completion-2.7.1-r0.apk
2024-12-14 19:06
2.4K
mdcat-fish-completion-2.7.1-r0.apk
2024-12-14 19:06
2.1K
mdcat-doc-2.7.1-r0.apk
2024-12-14 19:06
6.0K
mdcat-bash-completion-2.7.1-r0.apk
2024-12-14 19:06
2.2K
mdcat-2.7.1-r0.apk
2024-12-14 19:06
3.1M
vals-0.38.0-r0.apk
2024-12-14 18:26
26M
helmfile-zsh-completion-0.169.2-r0.apk
2024-12-14 18:26
4.0K
helmfile-fish-completion-0.169.2-r0.apk
2024-12-14 18:26
4.3K
helmfile-doc-0.169.2-r0.apk
2024-12-14 18:26
2.2K
helmfile-bash-completion-0.169.2-r0.apk
2024-12-14 18:26
5.1K
helmfile-0.169.2-r0.apk
2024-12-14 18:26
43M
ko-zsh-completion-0.17.1-r0.apk
2024-12-14 17:41
4.0K
ko-fish-completion-0.17.1-r0.apk
2024-12-14 17:41
4.2K
ko-bash-completion-0.17.1-r0.apk
2024-12-14 17:41
5.0K
ko-0.17.1-r0.apk
2024-12-14 17:41
9.6M
soqt-doc-1.6.3-r0.apk
2024-12-13 21:44
881K
soqt-dev-1.6.3-r0.apk
2024-12-13 21:44
84K
soqt-1.6.3-r0.apk
2024-12-13 21:44
233K
coin-dev-4.0.3-r0.apk
2024-12-13 21:30
326K
coin-4.0.3-r0.apk
2024-12-13 21:30
3.0M
py3-colored-pyc-2.2.4-r0.apk
2024-12-13 20:44
24K
py3-colored-2.2.4-r0.apk
2024-12-13 20:44
15K
py3-janus-pyc-1.2.0-r0.apk
2024-12-13 05:57
13K
py3-janus-1.2.0-r0.apk
2024-12-13 05:57
12K
code-minimap-doc-0.6.7-r0.apk
2024-12-12 20:37
8.0K
code-minimap-0.6.7-r0.apk
2024-12-12 20:37
365K
xml2rfc-pyc-3.25.0-r0.apk
2024-12-12 20:36
404K
xml2rfc-3.25.0-r0.apk
2024-12-12 20:36
350K
adguardhome-openrc-0.107.55-r0.apk
2024-12-12 20:36
2.1K
adguardhome-0.107.55-r0.apk
2024-12-12 20:36
10M
sfwbar-doc-1.0_beta16-r1.apk
2024-12-12 20:02
26K
sfwbar-1.0_beta16-r1.apk
2024-12-12 20:02
285K
copyq-doc-9.1.0-r1.apk
2024-12-12 18:45
3.4K
copyq-bash-completion-9.1.0-r1.apk
2024-12-12 18:45
2.2K
copyq-9.1.0-r1.apk
2024-12-12 18:45
2.6M
libantlr4-dev-4.13.2-r0.apk
2024-12-12 12:01
1.5M
libantlr4-4.13.2-r0.apk
2024-12-12 12:01
447K
py3-flask-qrcode-pyc-3.2.0-r0.apk
2024-12-12 07:32
6.2K
py3-flask-qrcode-3.2.0-r0.apk
2024-12-12 07:32
18K
py3-eventlet-pyc-0.38.1-r0.apk
2024-12-11 22:38
336K
py3-eventlet-0.38.1-r0.apk
2024-12-11 22:38
332K
openfortivpn-doc-1.22.1-r0.apk
2024-12-11 22:38
6.3K
openfortivpn-1.22.1-r0.apk
2024-12-11 22:38
41K
argocd-zsh-completion-2.13.1-r0.apk
2024-12-11 22:01
4.0K
argocd-doc-2.13.1-r0.apk
2024-12-11 22:01
5.4K
argocd-bash-completion-2.13.1-r0.apk
2024-12-11 22:01
20K
argocd-2.13.1-r0.apk
2024-12-11 22:01
36M
alloy-openrc-1.5.1-r0.apk
2024-12-11 21:45
1.9K
alloy-1.5.1-r0.apk
2024-12-11 21:45
72M
perl-net-async-redis-doc-6.006-r0.apk
2024-12-11 17:22
66K
perl-net-async-redis-6.006-r0.apk
2024-12-11 17:22
58K
kapp-zsh-completion-0.64.0-r0.apk
2024-12-11 13:05
4.0K
kapp-fish-completion-0.64.0-r0.apk
2024-12-11 13:05
4.2K
kapp-bash-completion-0.64.0-r0.apk
2024-12-11 13:05
8.1K
kapp-0.64.0-r0.apk
2024-12-11 13:05
13M
php81-pecl-smbclient-1.2.0_pre-r0.apk
2024-12-10 20:02
21K
par2cmdline-turbo-doc-1.2.0-r0.apk
2024-12-10 19:06
5.3K
par2cmdline-turbo-1.2.0-r0.apk
2024-12-10 19:06
173K
htmldoc-doc-1.9.20-r0.apk
2024-12-10 19:06
98K
htmldoc-1.9.20-r0.apk
2024-12-10 19:06
2.3M
py3-dunamai-pyc-1.23.0-r0.apk
2024-12-10 09:20
43K
py3-dunamai-1.23.0-r0.apk
2024-12-10 09:20
26K
prettier-doc-3.4.2-r0.apk
2024-12-10 09:20
21K
prettier-3.4.2-r0.apk
2024-12-10 09:20
1.9M
qt6ct-0.9-r3.apk
2024-12-09 20:47
194K
meson-tools-doc-0.1-r2.apk
2024-12-09 17:38
8.3K
meson-tools-0.1-r2.apk
2024-12-09 17:38
8.4K
laze-zsh-completion-0.1.27-r0.apk
2024-12-09 17:25
3.5K
laze-fish-completion-0.1.27-r0.apk
2024-12-09 17:25
3.3K
laze-doc-0.1.27-r0.apk
2024-12-09 17:25
3.5K
laze-bash-completion-0.1.27-r0.apk
2024-12-09 17:25
3.1K
laze-0.1.27-r0.apk
2024-12-09 17:25
969K
py3-liblarch-pyc-3.2.0-r6.apk
2024-12-08 22:36
50K
py3-liblarch-3.2.0-r6.apk
2024-12-08 22:36
30K
planner-lang-0.14.92-r1.apk
2024-12-08 22:36
825K
planner-doc-0.14.92-r1.apk
2024-12-08 22:36
2.2K
planner-0.14.92-r1.apk
2024-12-08 22:36
348K
getting-things-gnome-lang-0.6-r4.apk
2024-12-08 22:36
229K
getting-things-gnome-doc-0.6-r4.apk
2024-12-08 22:36
497K
getting-things-gnome-0.6-r4.apk
2024-12-08 22:36
715K
endeavour-lang-43.0-r2.apk
2024-12-08 22:36
203K
endeavour-doc-43.0-r2.apk
2024-12-08 22:36
68K
endeavour-dev-43.0-r2.apk
2024-12-08 22:36
46K
endeavour-43.0-r2.apk
2024-12-08 22:36
189K
py3-flake8-builtins-pyc-2.5.0-r0.apk
2024-12-07 22:51
7.9K
py3-flake8-builtins-2.5.0-r0.apk
2024-12-07 22:51
13K
py3-venusian-pyc-3.1.1-r0.apk
2024-12-07 22:14
12K
py3-venusian-3.1.1-r0.apk
2024-12-07 22:14
14K
zvbi-doc-0.2.43-r0.apk
2024-12-07 21:33
21K
zvbi-0.2.43-r0.apk
2024-12-07 21:33
187K
py3-gtkspellcheck-pyc-5.0.3-r0.apk
2024-12-07 21:33
30K
py3-gtkspellcheck-5.0.3-r0.apk
2024-12-07 21:33
45K
libzvbi-static-0.2.43-r0.apk
2024-12-07 21:33
465K
libzvbi-dev-0.2.43-r0.apk
2024-12-07 21:33
14K
libzvbi-0.2.43-r0.apk
2024-12-07 21:33
235K
iotas-pyc-0.9.5-r0.apk
2024-12-07 21:33
300K
iotas-lang-0.9.5-r0.apk
2024-12-07 21:33
71K
iotas-0.9.5-r0.apk
2024-12-07 21:33
184K
py3-textual-pyc-0.87.1-r0.apk
2024-12-07 21:32
1.1M
py3-textual-0.87.1-r0.apk
2024-12-07 21:32
567K
hurlfmt-6.0.0-r0.apk
2024-12-07 21:32
890K
hurl-zsh-completion-6.0.0-r0.apk
2024-12-07 21:32
3.9K
hurl-fish-completion-6.0.0-r0.apk
2024-12-07 21:32
3.4K
hurl-doc-6.0.0-r0.apk
2024-12-07 21:32
8.6K
hurl-bash-completion-6.0.0-r0.apk
2024-12-07 21:32
2.2K
hurl-6.0.0-r0.apk
2024-12-07 21:32
1.8M
ghq-zsh-completion-1.7.1-r0.apk
2024-12-07 21:32
2.4K
ghq-fish-completion-1.7.1-r0.apk
2024-12-07 21:32
2.4K
ghq-doc-1.7.1-r0.apk
2024-12-07 21:32
5.4K
ghq-bash-completion-1.7.1-r0.apk
2024-12-07 21:32
1.7K
ghq-1.7.1-r0.apk
2024-12-07 21:32
3.4M
dooit-pyc-3.1.0-r0.apk
2024-12-07 21:32
100K
dooit-extras-pyc-0.2.0-r0.apk
2024-12-07 21:32
23K
dooit-extras-0.2.0-r0.apk
2024-12-07 21:32
13K
dooit-3.1.0-r0.apk
2024-12-07 21:32
45K
lomiri-telephony-service-lang-0.5.3-r5.apk
2024-12-07 13:49
96K
lomiri-telephony-service-0.5.3-r5.apk
2024-12-07 13:49
1.0M
lomiri-history-service-dev-0.6-r2.apk
2024-12-07 13:49
11K
lomiri-history-service-0.6-r2.apk
2024-12-07 13:49
348K
kodaskanna-lang-0.2.0-r0.apk
2024-12-07 11:15
21K
kodaskanna-0.2.0-r0.apk
2024-12-07 11:15
52K
pipeline-lang-2.1.0-r0.apk
2024-12-07 04:53
59K
pipeline-doc-2.1.0-r0.apk
2024-12-07 04:53
14K
pipeline-2.1.0-r0.apk
2024-12-07 04:53
1.7M
kubeseal-doc-0.27.3-r0.apk
2024-12-07 04:41
5.5K
kubeseal-0.27.3-r0.apk
2024-12-07 04:41
9.7M
fileshelter-openrc-6.2.0-r2.apk
2024-12-07 01:23
1.6K
fileshelter-6.2.0-r2.apk
2024-12-07 01:23
314K
py3-sphinxcontrib-doxylink-pyc-1.12.3-r0.apk
2024-12-06 23:58
16K
py3-sphinxcontrib-doxylink-1.12.3-r0.apk
2024-12-06 23:58
12K
py3-flask-flatpages-pyc-0.8.3-r0.apk
2024-12-06 23:57
14K
py3-flask-flatpages-0.8.3-r0.apk
2024-12-06 23:57
11K
ptyxis-lang-47.6-r0.apk
2024-12-06 23:37
239K
ptyxis-doc-47.6-r0.apk
2024-12-06 23:37
2.9K
ptyxis-47.6-r0.apk
2024-12-06 23:37
264K
py3-x-wr-timezone-pyc-2.0.0-r0.apk
2024-12-06 23:31
6.9K
py3-x-wr-timezone-2.0.0-r0.apk
2024-12-06 23:31
11K
dolt-1.43.20-r0.apk
2024-12-06 11:35
34M
porla-openrc-0.41.0-r1.apk
2024-12-05 21:57
2.7K
porla-doc-0.41.0-r1.apk
2024-12-05 21:57
2.2K
porla-0.41.0-r1.apk
2024-12-05 21:57
3.4M
timeshift-lang-24.06.5-r0.apk
2024-12-05 21:47
917K
timeshift-doc-24.06.5-r0.apk
2024-12-05 21:47
3.1K
timeshift-24.06.5-r0.apk
2024-12-05 21:47
460K
volatility3-pyc-2.8.0-r0.apk
2024-12-05 18:17
923K
volatility3-doc-2.8.0-r0.apk
2024-12-05 18:17
3.1K
volatility3-2.8.0-r0.apk
2024-12-05 18:17
496K
fna3d-dev-24.12-r0.apk
2024-12-05 14:36
14K
fna3d-24.12-r0.apk
2024-12-05 14:36
191K
lefthook-doc-1.8.5-r0.apk
2024-12-05 14:03
2.2K
lefthook-1.8.5-r0.apk
2024-12-05 14:03
3.8M
gst-rtsp-server-dev-1.24.10-r0.apk
2024-12-05 14:03
93K
gst-rtsp-server-1.24.10-r0.apk
2024-12-05 14:03
227K
py3-sphinx-autoapi-pyc-3.4.0-r0.apk
2024-12-04 23:09
58K
py3-sphinx-autoapi-3.4.0-r0.apk
2024-12-04 23:09
31K
gotestfmt-2.5.0-r0.apk
2024-12-04 19:22
1.3M
drupal7-doc-7.103-r0.apk
2024-12-04 18:27
57K
drupal7-7.103-r0.apk
2024-12-04 18:27
3.3M
php81-pecl-pcov-1.0.12-r0.apk
2024-12-04 18:17
9.9K
php83-pecl-excimer-1.2.3-r0.apk
2024-12-04 03:05
22K
php82-pecl-excimer-1.2.3-r0.apk
2024-12-04 03:05
22K
manticore-tools-6.3.8-r0.apk
2024-12-04 01:53
20M
manticore-openrc-6.3.8-r0.apk
2024-12-04 01:53
1.8K
manticore-doc-6.3.8-r0.apk
2024-12-04 01:53
15K
manticore-dev-6.3.8-r0.apk
2024-12-04 01:53
4.6K
manticore-converter-6.3.8-r0.apk
2024-12-04 01:53
5.0M
manticore-6.3.8-r0.apk
2024-12-04 01:53
6.1M
openfire-plugins-4.8.1-r1.apk
2024-12-03 15:38
72K
openfire-openrc-4.8.1-r1.apk
2024-12-03 15:38
1.9K
openfire-doc-4.8.1-r1.apk
2024-12-03 15:38
3.8M
openfire-4.8.1-r1.apk
2024-12-03 15:38
46M
lizardfs-metalogger-openrc-3.13.0-r14.apk
2024-12-03 15:29
1.6K
lizardfs-metalogger-3.13.0-r14.apk
2024-12-03 15:29
176K
lizardfs-master-openrc-3.13.0-r14.apk
2024-12-03 15:29
1.6K
lizardfs-master-3.13.0-r14.apk
2024-12-03 15:29
1.1M
lizardfs-doc-3.13.0-r14.apk
2024-12-03 15:29
11K
lizardfs-client-3.13.0-r14.apk
2024-12-03 15:29
1.4M
lizardfs-chunkserver-openrc-3.13.0-r14.apk
2024-12-03 15:29
1.6K
lizardfs-chunkserver-3.13.0-r14.apk
2024-12-03 15:29
410K
lizardfs-cgiserv-openrc-3.13.0-r14.apk
2024-12-03 15:29
1.9K
lizardfs-cgiserv-3.13.0-r14.apk
2024-12-03 15:29
7.3K
lizardfs-cgi-3.13.0-r14.apk
2024-12-03 15:29
31K
lizardfs-bash-completion-3.13.0-r14.apk
2024-12-03 15:29
1.8K
lizardfs-3.13.0-r14.apk
2024-12-03 15:29
146K
py3-soappy-pyc-0.52.30-r0.apk
2024-12-03 00:04
95K
py3-soappy-0.52.30-r0.apk
2024-12-03 00:04
47K
lomiri-clock-app-lang-4.1.0-r0.apk
2024-12-03 00:04
449K
lomiri-clock-app-4.1.0-r0.apk
2024-12-03 00:04
229K
py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk
2024-12-01 21:13
10K
py3-cucumber-tag-expressions-6.1.1-r0.apk
2024-12-01 21:13
8.7K
py3-language-data-pyc-1.3.0-r0.apk
2024-12-01 21:08
3.0M
py3-language-data-1.3.0-r0.apk
2024-12-01 21:08
5.0M
httpx-doc-1.6.9-r1.apk
2024-12-01 18:53
2.2K
httpx-1.6.9-r1.apk
2024-12-01 18:53
13M
py3-requests-kerberos-pyc-0.15.0-r0.apk
2024-12-01 18:24
11K
py3-requests-kerberos-0.15.0-r0.apk
2024-12-01 18:24
12K
binwalk-pyc-2.4.3-r0.apk
2024-12-01 18:24
167K
binwalk-2.4.3-r0.apk
2024-12-01 18:24
145K
tldr-python-client-pyc-3.3.0-r0.apk
2024-12-01 18:09
14K
tldr-python-client-doc-3.3.0-r0.apk
2024-12-01 18:09
3.5K
tldr-python-client-3.3.0-r0.apk
2024-12-01 18:09
12K
py3-telegram-bot-pyc-21.7-r0.apk
2024-12-01 18:08
711K
py3-telegram-bot-21.7-r0.apk
2024-12-01 18:08
446K
grpcui-1.4.2-r0.apk
2024-12-01 17:07
8.0M
rygel-lang-0.44.1-r0.apk
2024-12-01 14:01
567K
rygel-doc-0.44.1-r0.apk
2024-12-01 14:01
9.6K
rygel-dev-0.44.1-r0.apk
2024-12-01 14:01
43K
rygel-0.44.1-r0.apk
2024-12-01 14:01
774K
gupnp-doc-1.6.7-r0.apk
2024-12-01 14:01
3.7K
gupnp-dlna-dev-0.12.0-r0.apk
2024-12-01 14:01
24K
gupnp-dlna-0.12.0-r0.apk
2024-12-01 14:01
66K
gupnp-dev-1.6.7-r0.apk
2024-12-01 14:01
50K
gupnp-av-dev-0.14.1-r0.apk
2024-12-01 14:01
41K
gupnp-av-0.14.1-r0.apk
2024-12-01 14:01
78K
gupnp-1.6.7-r0.apk
2024-12-01 14:01
88K
gssdp-dev-1.6.3-r0.apk
2024-12-01 14:01
15K
gssdp-1.6.3-r0.apk
2024-12-01 14:01
45K
yaru-theme-viridian-23.10.0-r1.apk
2024-12-01 03:29
760K
yaru-theme-sage-23.10.0-r1.apk
2024-12-01 03:29
762K
yaru-theme-red-23.10.0-r1.apk
2024-12-01 03:29
760K
yaru-theme-purple-23.10.0-r1.apk
2024-12-01 03:29
756K
yaru-theme-prussiangreen-23.10.0-r1.apk
2024-12-01 03:29
760K
yaru-theme-olive-23.10.0-r1.apk
2024-12-01 03:29
759K
yaru-theme-mate-23.10.0-r1.apk
2024-12-01 03:29
727K
yaru-theme-magenta-23.10.0-r1.apk
2024-12-01 03:29
761K
yaru-theme-hdpi-23.10.0-r1.apk
2024-12-01 03:29
73K
yaru-theme-blue-23.10.0-r1.apk
2024-12-01 03:29
765K
yaru-theme-bark-23.10.0-r1.apk
2024-12-01 03:29
763K
yaru-theme-23.10.0-r1.apk
2024-12-01 03:29
840K
yaru-sounds-23.10.0-r1.apk
2024-12-01 03:29
676K
yaru-shell-23.10.0-r1.apk
2024-12-01 03:29
735K
yaru-schemas-23.10.0-r1.apk
2024-12-01 03:29
1.8K
yaru-icon-theme-viridian-23.10.0-r1.apk
2024-12-01 03:29
1.1M
yaru-icon-theme-sage-23.10.0-r1.apk
2024-12-01 03:29
1.1M
yaru-icon-theme-red-23.10.0-r1.apk
2024-12-01 03:29
1.1M
yaru-icon-theme-purple-23.10.0-r1.apk
2024-12-01 03:29
1.1M
yaru-icon-theme-prussiangreen-23.10.0-r1.apk
2024-12-01 03:29
1.1M
yaru-icon-theme-olive-23.10.0-r1.apk
2024-12-01 03:29
1.1M
yaru-icon-theme-mate-23.10.0-r1.apk
2024-12-01 03:29
1.2M
yaru-icon-theme-magenta-23.10.0-r1.apk
2024-12-01 03:29
1.1M
yaru-icon-theme-blue-23.10.0-r1.apk
2024-12-01 03:29
1.1M
yaru-icon-theme-bark-23.10.0-r1.apk
2024-12-01 03:29
1.0M
yaru-icon-theme-23.10.0-r1.apk
2024-12-01 03:29
35M
yaru-common-23.10.0-r1.apk
2024-12-01 03:29
4.5K
py3-numpy-stl-pyc-3.2.0-r0.apk
2024-12-01 03:05
28K
py3-numpy-stl-3.2.0-r0.apk
2024-12-01 03:05
21K
py3-ppk2-api-pyc-0.9.2-r0.apk
2024-11-30 21:52
17K
py3-ppk2-api-0.9.2-r0.apk
2024-11-30 21:52
16K
py3-json5-pyc-0.9.25-r0.apk
2024-11-30 21:49
29K
py3-json5-0.9.25-r0.apk
2024-11-30 21:49
25K
py3-itemadapter-pyc-0.10.0-r0.apk
2024-11-30 21:42
13K
py3-itemadapter-0.10.0-r0.apk
2024-11-30 21:42
11K
py3-protego-pyc-0.3.1-r0.apk
2024-11-30 21:31
12K
py3-protego-0.3.1-r0.apk
2024-11-30 21:31
9.3K
py3-manuel-pyc-1.13.0-r0.apk
2024-11-30 21:31
26K
py3-manuel-1.13.0-r0.apk
2024-11-30 21:31
39K
convert2json-doc-1.1.2-r0.apk
2024-11-30 12:19
11K
convert2json-1.1.2-r0.apk
2024-11-30 12:19
2.3M
exabgp-pyc-4.2.22-r0.apk
2024-11-30 11:48
892K
exabgp-openrc-4.2.22-r0.apk
2024-11-30 11:48
2.2K
exabgp-doc-4.2.22-r0.apk
2024-11-30 11:48
8.0K
exabgp-4.2.22-r0.apk
2024-11-30 11:48
437K
py3-pcbnewtransition-pyc-0.4.2-r0.apk
2024-11-30 00:03
9.5K
py3-pcbnewtransition-0.4.2-r0.apk
2024-11-30 00:03
7.4K
dmarc-metrics-exporter-pyc-1.2.0-r0.apk
2024-11-29 22:58
46K
dmarc-metrics-exporter-openrc-1.2.0-r0.apk
2024-11-29 22:58
1.9K
dmarc-metrics-exporter-1.2.0-r0.apk
2024-11-29 22:58
25K
magic-wormhole-rs-0.7.4-r0.apk
2024-11-29 03:41
2.6M
tuptime-openrc-5.2.4-r1.apk
2024-11-28 23:44
1.7K
tuptime-doc-5.2.4-r1.apk
2024-11-28 23:44
3.7K
tuptime-5.2.4-r1.apk
2024-11-28 23:44
14K
php81-pecl-protobuf-4.29.0-r0.apk
2024-11-28 12:07
146K
certbot-dns-njalla-pyc-2.0.0-r0.apk
2024-11-28 00:08
4.2K
certbot-dns-njalla-2.0.0-r0.apk
2024-11-28 00:08
9.3K
py3-flask-limiter-pyc-3.9.2-r0.apk
2024-11-27 23:57
47K
py3-flask-limiter-3.9.2-r0.apk
2024-11-27 23:57
27K
gmid-openrc-2.1.1-r0.apk
2024-11-27 20:26
2.2K
gmid-doc-2.1.1-r0.apk
2024-11-27 20:26
14K
gmid-2.1.1-r0.apk
2024-11-27 20:26
229K
php81-pecl-mongodb-1.20.1-r0.apk
2024-11-27 17:47
835K
ttdl-doc-4.7.0-r0.apk
2024-11-26 20:05
37K
ttdl-4.7.0-r0.apk
2024-11-26 20:05
930K
git-graph-doc-0.6.0-r0.apk
2024-11-26 00:39
6.2K
git-graph-0.6.0-r0.apk
2024-11-26 00:39
872K
py3-livestream-pyc-2.1.0-r0.apk
2024-11-25 23:22
30K
py3-livestream-2.1.0-r0.apk
2024-11-25 23:22
766K
atac-0.18.1-r0.apk
2024-11-25 22:46
4.8M
py3-highctidh-pyc-1.0.2024092800-r0.apk
2024-11-25 20:57
12K
py3-highctidh-1.0.2024092800-r0.apk
2024-11-25 20:57
342K
highctidh-dev-1.0.2024092800-r0.apk
2024-11-25 20:57
365K
highctidh-1.0.2024092800-r0.apk
2024-11-25 20:57
331K
py3-plexapi-pyc-4.16.0-r0.apk
2024-11-25 15:10
306K
py3-plexapi-doc-4.16.0-r0.apk
2024-11-25 15:10
84K
py3-plexapi-4.16.0-r0.apk
2024-11-25 15:10
153K
py3-ovos-workshop-pyc-3.1.1-r0.apk
2024-11-25 13:41
156K
py3-ovos-workshop-3.1.1-r0.apk
2024-11-25 13:41
87K
py3-ovos-utils-pyc-0.5.4-r0.apk
2024-11-25 13:41
124K
py3-ovos-utils-0.5.4-r0.apk
2024-11-25 13:41
69K
py3-ovos-plugin-manager-pyc-0.6.0-r0.apk
2024-11-25 13:41
178K
py3-ovos-plugin-manager-0.6.0-r0.apk
2024-11-25 13:41
92K
py3-ovos-config-pyc-1.0.0-r0.apk
2024-11-25 13:41
34K
py3-ovos-config-1.0.0-r0.apk
2024-11-25 13:41
43K
py3-ovos-backend-client-pyc-1.0.0-r0.apk
2024-11-25 13:41
91K
py3-ovos-backend-client-1.0.0-r0.apk
2024-11-25 13:41
45K
ovos-messagebus-pyc-0.0.8-r0.apk
2024-11-25 13:41
6.6K
ovos-messagebus-0.0.8-r0.apk
2024-11-25 13:41
9.8K
ovos-gui-pyc-1.1.0-r0.apk
2024-11-25 13:41
38K
ovos-gui-1.1.0-r0.apk
2024-11-25 13:41
31K
spvm-thread-doc-0.002-r0.apk
2024-11-25 09:05
5.8K
spvm-thread-0.002-r0.apk
2024-11-25 09:05
12K
xandikos-pyc-0.2.12-r1.apk
2024-11-25 04:43
189K
xandikos-openrc-0.2.12-r1.apk
2024-11-25 04:43
2.1K
xandikos-doc-0.2.12-r1.apk
2024-11-25 04:43
2.4K
xandikos-0.2.12-r1.apk
2024-11-25 04:43
91K
php82-pecl-zephir_parser-1.7.0-r0.apk
2024-11-24 17:57
61K
php81-pecl-zephir_parser-1.7.0-r0.apk
2024-11-24 17:57
61K
qstardict-doc-2.0.2-r1.apk
2024-11-24 04:04
11K
qstardict-2.0.2-r1.apk
2024-11-24 04:04
449K
py3-pivy-0.6.9-r2.apk
2024-11-24 00:12
2.1M
crowdsec-splunk-plugin-1.6.4-r0.apk
2024-11-23 22:27
7.7M
crowdsec-slack-plugin-1.6.4-r0.apk
2024-11-23 22:27
7.8M
crowdsec-sentinel-plugin-1.6.4-r0.apk
2024-11-23 22:27
7.7M
crowdsec-openrc-1.6.4-r0.apk
2024-11-23 22:27
1.7K
crowdsec-http-plugin-1.6.4-r0.apk
2024-11-23 22:27
7.7M
crowdsec-email-plugin-1.6.4-r0.apk
2024-11-23 22:27
7.8M
crowdsec-1.6.4-r0.apk
2024-11-23 22:27
31M
predict-doc-2.3.1-r0.apk
2024-11-23 19:56
16K
predict-2.3.1-r0.apk
2024-11-23 19:56
92K
py3-igraph-pyc-0.11.8-r0.apk
2024-11-23 18:32
371K
py3-igraph-dev-0.11.8-r0.apk
2024-11-23 18:32
2.5K
py3-igraph-0.11.8-r0.apk
2024-11-23 18:32
409K
wlroots0.17-dev-0.17.4-r1.apk
2024-11-23 10:17
77K
wlroots0.17-dbg-0.17.4-r1.apk
2024-11-23 10:17
1.5M
wlroots0.17-0.17.4-r1.apk
2024-11-23 10:17
385K
py3-dateparser-pyc-1.2.0-r0.apk
2024-11-23 05:07
334K
py3-dateparser-1.2.0-r0.apk
2024-11-23 05:07
197K
snippets-ls-0.0.4_git20240617-r0.apk
2024-11-22 23:05
1.3M
paprefs-lang-1.2-r2.apk
2024-11-22 23:05
38K
paprefs-1.2-r2.apk
2024-11-22 23:05
30K
eclipse-ecj-4.33-r0.apk
2024-11-22 22:50
2.5M
perl-git-raw-doc-0.90-r2.apk
2024-11-22 18:31
117K
perl-git-raw-0.90-r2.apk
2024-11-22 18:31
172K
compiz-utils-0.9.14.2-r7.apk
2024-11-22 16:31
3.3K
compiz-pyc-0.9.14.2-r7.apk
2024-11-22 16:31
111K
compiz-lang-0.9.14.2-r7.apk
2024-11-22 16:31
1.2M
compiz-dev-0.9.14.2-r7.apk
2024-11-22 16:31
117K
compiz-0.9.14.2-r7.apk
2024-11-22 16:31
6.1M
gmic-qt-3.3.5-r1.apk
2024-11-22 00:59
1.6M
gmic-libs-3.3.5-r1.apk
2024-11-22 00:59
4.5M
gmic-doc-3.3.5-r1.apk
2024-11-22 00:59
219K
gmic-dev-3.3.5-r1.apk
2024-11-22 00:59
7.7K
gmic-bash-completion-3.3.5-r1.apk
2024-11-22 00:59
28K
gmic-3.3.5-r1.apk
2024-11-22 00:59
11M
gimp-plugin-gmic-3.3.5-r1.apk
2024-11-22 00:59
1.3M
tree-sitter-vimdoc-doc-3.0.0-r1.apk
2024-11-21 20:30
7.2K
tree-sitter-vimdoc-dev-3.0.0-r1.apk
2024-11-21 20:30
103K
tree-sitter-vimdoc-3.0.0-r1.apk
2024-11-21 20:30
30K
cpplint-pyc-2.0.0-r0.apk
2024-11-21 20:14
96K
cpplint-2.0.0-r0.apk
2024-11-21 20:14
77K
py3-xdoctest-1.2.0-r0.apk
2024-11-21 14:31
312K
py3-ovos-phal-plugin-system-pyc-1.0.1-r0.apk
2024-11-21 14:31
9.6K
py3-ovos-phal-plugin-system-1.0.1-r0.apk
2024-11-21 14:31
15K
py3-ovos-bus-client-pyc-1.0.4-r0.apk
2024-11-21 14:31
81K
py3-ovos-bus-client-1.0.4-r0.apk
2024-11-21 14:31
45K
py3-langcodes-pyc-3.3.0-r2.apk
2024-11-21 14:31
109K
py3-langcodes-3.3.0-r2.apk
2024-11-21 14:31
173K
pyonji-0.1.0-r0.apk
2024-11-21 04:01
2.6M
smassh-pyc-3.1.6-r0.apk
2024-11-21 03:56
70K
smassh-3.1.6-r0.apk
2024-11-21 03:56
72K
prometheus-podman-exporter-1.13.3-r0.apk
2024-11-20 21:58
14M
walk-1.10.0-r0.apk
2024-11-20 21:53
1.3M
neko-doc-2.3.0-r0.apk
2024-11-20 08:29
20K
neko-dev-2.3.0-r0.apk
2024-11-20 08:29
10K
neko-2.3.0-r0.apk
2024-11-20 08:29
458K
php81-zip-8.1.31-r0.apk
2024-11-20 03:44
24K
php81-xsl-8.1.31-r0.apk
2024-11-20 03:44
13K
php81-xmlwriter-8.1.31-r0.apk
2024-11-20 03:44
12K
php81-xmlreader-8.1.31-r0.apk
2024-11-20 03:44
12K
php81-xml-8.1.31-r0.apk
2024-11-20 03:44
18K
php81-tokenizer-8.1.31-r0.apk
2024-11-20 03:44
11K
php81-tidy-8.1.31-r0.apk
2024-11-20 03:44
18K
php81-sysvshm-8.1.31-r0.apk
2024-11-20 03:44
6.4K
php81-sysvsem-8.1.31-r0.apk
2024-11-20 03:44
5.6K
php81-sysvmsg-8.1.31-r0.apk
2024-11-20 03:44
7.3K
php81-sqlite3-8.1.31-r0.apk
2024-11-20 03:44
20K
php81-sodium-8.1.31-r0.apk
2024-11-20 03:44
24K
php81-sockets-8.1.31-r0.apk
2024-11-20 03:44
34K
php81-soap-8.1.31-r0.apk
2024-11-20 03:44
126K
php81-snmp-8.1.31-r0.apk
2024-11-20 03:44
20K
php81-simplexml-8.1.31-r0.apk
2024-11-20 03:44
21K
php81-shmop-8.1.31-r0.apk
2024-11-20 03:44
5.9K
php81-session-8.1.31-r0.apk
2024-11-20 03:44
34K
php81-pspell-8.1.31-r0.apk
2024-11-20 03:44
7.7K
php81-posix-8.1.31-r0.apk
2024-11-20 03:44
11K
php81-phpdbg-8.1.31-r0.apk
2024-11-20 03:44
1.8M
php81-phar-8.1.31-r0.apk
2024-11-20 03:44
114K
php81-pgsql-8.1.31-r0.apk
2024-11-20 03:44
42K
php81-pear-8.1.31-r0.apk
2024-11-20 03:44
338K
php81-pdo_sqlite-8.1.31-r0.apk
2024-11-20 03:44
12K
php81-pdo_pgsql-8.1.31-r0.apk
2024-11-20 03:44
18K
php81-pdo_odbc-8.1.31-r0.apk
2024-11-20 03:44
12K
php81-pdo_mysql-8.1.31-r0.apk
2024-11-20 03:44
12K
php81-pdo_dblib-8.1.31-r0.apk
2024-11-20 03:44
11K
php81-pdo-8.1.31-r0.apk
2024-11-20 03:44
40K
php81-pcntl-8.1.31-r0.apk
2024-11-20 03:44
13K
php81-openssl-8.1.31-r0.apk
2024-11-20 03:44
68K
php81-opcache-8.1.31-r0.apk
2024-11-20 03:44
66K
php81-odbc-8.1.31-r0.apk
2024-11-20 03:44
22K
php81-mysqlnd-8.1.31-r0.apk
2024-11-20 03:44
78K
php81-mysqli-8.1.31-r0.apk
2024-11-20 03:44
40K
php81-mbstring-8.1.31-r0.apk
2024-11-20 03:44
571K
php81-litespeed-8.1.31-r0.apk
2024-11-20 03:44
1.8M
php81-ldap-8.1.31-r0.apk
2024-11-20 03:44
31K
php81-intl-8.1.31-r0.apk
2024-11-20 03:44
136K
php81-imap-8.1.31-r0.apk
2024-11-20 03:44
32K
php81-iconv-8.1.31-r0.apk
2024-11-20 03:44
17K
php81-gmp-8.1.31-r0.apk
2024-11-20 03:44
20K
php81-gettext-8.1.31-r0.apk
2024-11-20 03:44
5.8K
php81-gd-8.1.31-r0.apk
2024-11-20 03:44
123K
php81-ftp-8.1.31-r0.apk
2024-11-20 03:44
21K
php81-fpm-8.1.31-r0.apk
2024-11-20 03:44
1.8M
php81-fileinfo-8.1.31-r0.apk
2024-11-20 03:44
376K
php81-ffi-8.1.31-r0.apk
2024-11-20 03:44
75K
php81-exif-8.1.31-r0.apk
2024-11-20 03:44
33K
php81-enchant-8.1.31-r0.apk
2024-11-20 03:44
8.1K
php81-embed-8.1.31-r0.apk
2024-11-20 03:44
1.7M
php81-dom-8.1.31-r0.apk
2024-11-20 03:44
56K
php81-doc-8.1.31-r0.apk
2024-11-20 03:44
68K
php81-dev-8.1.31-r0.apk
2024-11-20 03:44
939K
php81-dba-8.1.31-r0.apk
2024-11-20 03:44
20K
php81-curl-8.1.31-r0.apk
2024-11-20 03:44
35K
php81-ctype-8.1.31-r0.apk
2024-11-20 03:44
4.7K
php81-common-8.1.31-r0.apk
2024-11-20 03:44
25K
php81-cgi-8.1.31-r0.apk
2024-11-20 03:44
1.7M
php81-calendar-8.1.31-r0.apk
2024-11-20 03:44
14K
php81-bz2-8.1.31-r0.apk
2024-11-20 03:44
9.7K
php81-bcmath-8.1.31-r0.apk
2024-11-20 03:44
15K
php81-apache2-8.1.31-r0.apk
2024-11-20 03:44
1.7M
php81-8.1.31-r0.apk
2024-11-20 03:44
1.8M
gufw-pyc-24.04-r3.apk
2024-11-20 01:36
65K
gufw-lang-24.04-r3.apk
2024-11-20 01:36
855K
gufw-doc-24.04-r3.apk
2024-11-20 01:36
4.5K
gufw-24.04-r3.apk
2024-11-20 01:36
596K
srain-lang-1.8.0-r0.apk
2024-11-18 20:18
35K
srain-1.8.0-r0.apk
2024-11-18 20:18
165K
snapper-zsh-completion-0.12.0-r0.apk
2024-11-18 19:30
3.5K
snapper-lang-0.12.0-r0.apk
2024-11-18 19:30
180K
snapper-doc-0.12.0-r0.apk
2024-11-18 19:30
25K
snapper-dev-0.12.0-r0.apk
2024-11-18 19:30
10K
snapper-bash-completion-0.12.0-r0.apk
2024-11-18 19:30
3.0K
snapper-0.12.0-r0.apk
2024-11-18 19:30
1.0M
py3-requests-cache-pyc-1.2.1-r1.apk
2024-11-18 09:46
94K
py3-requests-cache-1.2.1-r1.apk
2024-11-18 09:46
50K
mnamer-pyc-2.5.5-r1.apk
2024-11-18 09:46
60K
mnamer-2.5.5-r1.apk
2024-11-18 09:46
31K
randrctl-pyc-1.10.0-r0.apk
2024-11-17 22:22
31K
randrctl-1.10.0-r0.apk
2024-11-17 22:22
28K
linuxptp-tz2alt-4.4-r0.apk
2024-11-17 18:18
20K
linuxptp-ts2phc-4.4-r0.apk
2024-11-17 18:18
37K
linuxptp-timemaster-4.4-r0.apk
2024-11-17 18:18
16K
linuxptp-ptp4l-4.4-r0.apk
2024-11-17 18:18
80K
linuxptp-pmc-4.4-r0.apk
2024-11-17 18:18
36K
linuxptp-phc_ctl-4.4-r0.apk
2024-11-17 18:18
10K
linuxptp-phc2sys-4.4-r0.apk
2024-11-17 18:18
37K
linuxptp-nsm-4.4-r0.apk
2024-11-17 18:18
33K
linuxptp-hwstamp_ctl-4.4-r0.apk
2024-11-17 18:18
4.4K
linuxptp-doc-4.4-r0.apk
2024-11-17 18:18
38K
linuxptp-4.4-r0.apk
2024-11-17 18:18
1.2K
apk-autoupdate-doc-0_git20210421-r1.apk
2024-11-17 13:51
7.0K
apk-autoupdate-0_git20210421-r1.apk
2024-11-17 13:51
13K
git-extras-doc-7.3.0-r0.apk
2024-11-17 13:49
63K
git-extras-bash-completion-7.3.0-r0.apk
2024-11-17 13:49
2.8K
git-extras-7.3.0-r0.apk
2024-11-17 13:49
55K
perl-dbix-class-helpers-doc-2.037000-r0.apk
2024-11-17 05:16
121K
perl-dbix-class-helpers-2.037000-r0.apk
2024-11-17 05:16
48K
libbraiding-dev-1.3.1-r0.apk
2024-11-17 05:16
15K
libbraiding-1.3.1-r0.apk
2024-11-17 05:16
90K
fplll-strategies-5.5.0-r0.apk
2024-11-17 05:16
1.7M
fplll-static-5.5.0-r0.apk
2024-11-17 05:16
12M
fplll-libs-5.5.0-r0.apk
2024-11-17 05:16
6.4M
fplll-dev-5.5.0-r0.apk
2024-11-17 05:16
78K
fplll-5.5.0-r0.apk
2024-11-17 05:16
48K
py3-dbus-fast-pyc-2.24.4-r0.apk
2024-11-16 23:31
126K
py3-dbus-fast-doc-2.24.4-r0.apk
2024-11-16 23:31
5.4K
py3-dbus-fast-2.24.4-r0.apk
2024-11-16 23:31
590K
py3-marisa-trie-1.2.1-r0.apk
2024-11-16 20:33
136K
cava-0.10.3-r0.apk
2024-11-16 20:15
43K
perl-test-utf8-doc-1.03-r0.apk
2024-11-16 16:08
4.9K
perl-test-utf8-1.03-r0.apk
2024-11-16 16:08
5.6K
php81-pecl-maxminddb-1.12.0-r0.apk
2024-11-15 18:16
8.2K
libigraph-dev-0.10.15-r0.apk
2024-11-15 18:16
90K
libigraph-0.10.15-r0.apk
2024-11-15 18:16
1.3M
tree-sitter-xml-doc-0.7.0-r0.apk
2024-11-14 14:06
2.2K
tree-sitter-xml-0.7.0-r0.apk
2024-11-14 14:06
35K
py3-mss-10.0.0-r0.apk
2024-11-14 13:57
51K
py3-minidb-pyc-2.0.8-r0.apk
2024-11-13 19:59
23K
py3-minidb-2.0.8-r0.apk
2024-11-13 19:59
10K
py3-niaarmts-pyc-0.1.2-r0.apk
2024-11-13 16:46
16K
py3-niaarmts-0.1.2-r0.apk
2024-11-13 16:46
13K
docker-auth-openrc-1.12.0-r0.apk
2024-11-13 12:52
2.0K
docker-auth-1.12.0-r0.apk
2024-11-13 12:52
8.9M
py3-pltable-pyc-1.1.0-r1.apk
2024-11-13 07:54
33K
py3-pltable-1.1.0-r1.apk
2024-11-13 07:54
19K
warp-s3-1.0.6-r0.apk
2024-11-13 02:10
6.1M
tree-sitter-c-sharp-0.23.1-r0.apk
2024-11-13 01:51
349K
contractor-0.3.5-r0.apk
2024-11-12 23:02
27K
appcenter-lang-8.0.0-r0.apk
2024-11-12 23:02
258K
appcenter-8.0.0-r0.apk
2024-11-12 23:02
403K
biometryd-dev-0.3.1-r6.apk
2024-11-12 20:23
13K
biometryd-0.3.1-r6.apk
2024-11-12 20:23
314K
lomiri-terminal-app-lang-2.0.3-r0.apk
2024-11-12 18:18
62K
lomiri-terminal-app-doc-2.0.3-r0.apk
2024-11-12 18:18
2.6K
lomiri-terminal-app-2.0.3-r0.apk
2024-11-12 18:18
63K
flashprog-libs-1.3-r0.apk
2024-11-12 16:59
165K
flashprog-doc-1.3-r0.apk
2024-11-12 16:59
26K
flashprog-dev-1.3-r0.apk
2024-11-12 16:59
345K
flashprog-1.3-r0.apk
2024-11-12 16:59
172K
soundconverter-pyc-4.0.6-r0.apk
2024-11-12 16:33
75K
soundconverter-lang-4.0.6-r0.apk
2024-11-12 16:33
107K
soundconverter-doc-4.0.6-r0.apk
2024-11-12 16:33
4.5K
soundconverter-4.0.6-r0.apk
2024-11-12 16:33
165K
crun-vm-doc-0.3.0-r0.apk
2024-11-12 12:55
13K
crun-vm-0.3.0-r0.apk
2024-11-12 12:55
1.1M
py3-xapp-2.4.2-r0.apk
2024-11-12 12:33
34K
gpscorrelate-lang-2.2-r0.apk
2024-11-12 12:33
17K
gpscorrelate-doc-2.2-r0.apk
2024-11-12 12:33
228K
gpscorrelate-cli-2.2-r0.apk
2024-11-12 12:33
24K
gpscorrelate-2.2-r0.apk
2024-11-12 12:33
47K
game-devices-udev-0.23-r0.apk
2024-11-12 11:54
6.2K
py3-pyvcd-pyc-0.4.1-r0.apk
2024-11-11 22:46
40K
py3-pyvcd-0.4.1-r0.apk
2024-11-11 22:46
23K
py3-dt-schema-pyc-2024.11-r0.apk
2024-11-11 21:10
50K
py3-dt-schema-2024.11-r0.apk
2024-11-11 21:10
78K
opentelemetry-cpp-exporter-zipkin-1.11.0-r5.apk
2024-11-11 20:45
48K
opentelemetry-cpp-exporter-otlp-http-1.11.0-r5.apk
2024-11-11 20:45
70K
opentelemetry-cpp-exporter-otlp-grpc-1.11.0-r5.apk
2024-11-11 20:45
39K
opentelemetry-cpp-exporter-otlp-common-1.11.0-r5.apk
2024-11-11 20:45
32K
opentelemetry-cpp-dev-1.11.0-r5.apk
2024-11-11 20:45
323K
opentelemetry-cpp-1.11.0-r5.apk
2024-11-11 20:45
554K
kismet-nxp-kw41z-0.202307.1-r4.apk
2024-11-11 20:45
45K
kismet-nrf-51822-0.202307.1-r4.apk
2024-11-11 20:45
43K
kismet-logtools-0.202307.1-r4.apk
2024-11-11 20:45
1.1M
kismet-linux-wifi-0.202307.1-r4.apk
2024-11-11 20:45
66K
kismet-linux-bluetooth-0.202307.1-r4.apk
2024-11-11 20:45
47K
kismet-0.202307.1-r4.apk
2024-11-11 20:45
12M
nvim-web-devicons-doc-0.100_git20241011-r0.apk
2024-11-11 04:58
7.1K
nvim-web-devicons-0.100_git20241011-r0.apk
2024-11-11 04:58
27K
i3status-rust-doc-0.33.2-r0.apk
2024-11-11 04:24
34K
i3status-rust-0.33.2-r0.apk
2024-11-11 04:24
5.0M
php81-pecl-xlswriter-1.5.8-r0.apk
2024-11-11 02:44
230K
elementary-sound-theme-1.1.0-r0.apk
2024-11-10 23:08
83K
elementary-videos-lang-8.0.1-r0.apk
2024-11-10 23:06
85K
elementary-videos-8.0.1-r0.apk
2024-11-10 23:06
110K
baikal-sqlite-0.10.1-r0.apk
2024-11-10 20:26
1.4K
baikal-pgsql-0.10.1-r0.apk
2024-11-10 20:26
1.2K
baikal-mysql-0.10.1-r0.apk
2024-11-10 20:26
1.2K
baikal-0.10.1-r0.apk
2024-11-10 20:26
1.2M
sqlmap-pyc-1.8.11-r0.apk
2024-11-09 23:25
1.2M
sqlmap-1.8.11-r0.apk
2024-11-09 23:25
6.8M
py3-caldav-pyc-1.4.0-r0.apk
2024-11-09 19:51
90K
py3-caldav-1.4.0-r0.apk
2024-11-09 19:51
68K
mapserver-dev-8.2.2-r2.apk
2024-11-09 19:51
540K
mapserver-8.2.2-r2.apk
2024-11-09 19:51
1.3M
droidcam-gui-2.1.3-r1.apk
2024-11-08 22:25
33K
droidcam-2.1.3-r1.apk
2024-11-08 22:25
19K
php81-pecl-brotli-0.15.2-r0.apk
2024-11-08 21:36
12K
gtk4-layer-shell-doc-1.0.4-r1.apk
2024-11-08 07:58
2.2K
gtk4-layer-shell-dev-1.0.4-r1.apk
2024-11-08 07:58
9.0K
gtk4-layer-shell-demo-1.0.4-r1.apk
2024-11-08 07:58
10K
gtk4-layer-shell-1.0.4-r1.apk
2024-11-08 07:58
16K
piglit-0_git20241106-r0.apk
2024-11-08 07:21
89M
mapnik-doc-3.1.0-r29.apk
2024-11-08 01:02
135K
mapnik-dev-3.1.0-r29.apk
2024-11-08 01:02
454K
mapnik-3.1.0-r29.apk
2024-11-08 01:02
11M
hexer-dev-1.4.0-r16.apk
2024-11-08 01:02
7.3K
hexer-1.4.0-r16.apk
2024-11-08 01:02
58K
py3-owslib-pyc-0.32.0-r0.apk
2024-11-07 23:59
421K
py3-owslib-0.32.0-r0.apk
2024-11-07 23:59
193K
tinygltf-dev-2.9.3-r0.apk
2024-11-07 23:57
57K
tinygltf-2.9.3-r0.apk
2024-11-07 23:57
142K
perl-nice-try-doc-1.3.15-r0.apk
2024-11-07 03:17
12K
perl-nice-try-1.3.15-r0.apk
2024-11-07 03:17
28K
neofetch-doc-7.1.0-r2.apk
2024-11-06 22:46
6.2K
neofetch-7.1.0-r2.apk
2024-11-06 22:46
86K
php81-pecl-zstd-0.14.0-r0.apk
2024-11-06 15:44
14K
py3-pdal-pyc-3.4.5-r0.apk
2024-11-06 08:22
13K
py3-pdal-3.4.5-r0.apk
2024-11-06 08:22
170K
beancount-language-server-1.3.6-r0.apk
2024-11-06 08:22
1.3M
e16-lang-1.0.30-r0.apk
2024-11-05 14:22
380K
e16-doc-1.0.30-r0.apk
2024-11-05 14:22
27K
e16-1.0.30-r0.apk
2024-11-05 14:22
797K
alps-openrc-0_git20230807-r7.apk
2024-11-04 21:02
2.0K
alps-0_git20230807-r7.apk
2024-11-04 21:02
5.1M
php81-pecl-rdkafka-6.0.5-r0.apk
2024-11-04 13:52
39K
py3-aiohttp-remotes-pyc-1.3.0-r0.apk
2024-11-04 13:28
19K
py3-aiohttp-remotes-1.3.0-r0.apk
2024-11-04 13:28
10K
avara-0.7.1-r1.apk
2024-11-04 09:52
21M
nvim-treesitter-doc-0.9.3-r0.apk
2024-11-04 04:05
30K
nvim-treesitter-0.9.3-r0.apk
2024-11-04 04:05
388K
flawz-zsh-completion-0.3.0-r0.apk
2024-11-03 22:07
2.2K
flawz-fish-completion-0.3.0-r0.apk
2024-11-03 22:07
1.9K
flawz-doc-0.3.0-r0.apk
2024-11-03 22:07
6.0K
flawz-bash-completion-0.3.0-r0.apk
2024-11-03 22:07
2.1K
flawz-0.3.0-r0.apk
2024-11-03 22:07
1.2M
spiritvnc-0.6.5-r0.apk
2024-11-03 06:10
48K
sdl3-doc-3.1.6-r0.apk
2024-11-02 21:34
2.1K
sdl3-dev-3.1.6-r0.apk
2024-11-02 21:34
36M
sdl3-dbg-3.1.6-r0.apk
2024-11-02 21:34
3.9M
sdl3-3.1.6-r0.apk
2024-11-02 21:34
818K
trace-cmd-doc-3.3.1-r0.apk
2024-11-01 18:24
171K
trace-cmd-bash-completion-3.3.1-r0.apk
2024-11-01 18:24
3.4K
trace-cmd-3.3.1-r0.apk
2024-11-01 18:24
166K
py3-sh-pyc-2.1.0-r0.apk
2024-11-01 16:13
55K
py3-sh-2.1.0-r0.apk
2024-11-01 16:13
38K
perl-module-generic-doc-0.37.7-r0.apk
2024-11-01 15:27
201K
perl-module-generic-0.37.7-r0.apk
2024-11-01 15:27
253K
usbmuxd-doc-1.1.1-r8.apk
2024-10-30 23:44
2.9K
usbmuxd-1.1.1-r8.apk
2024-10-30 23:44
34K
libirecovery-progs-1.2.1-r0.apk
2024-10-30 23:44
8.2K
libirecovery-dev-1.2.1-r0.apk
2024-10-30 23:44
4.1K
libirecovery-1.2.1-r0.apk
2024-10-30 23:44
27K
libideviceactivation-doc-1.1.1-r5.apk
2024-10-30 23:44
2.2K
libideviceactivation-dev-1.1.1-r5.apk
2024-10-30 23:44
3.3K
libideviceactivation-1.1.1-r5.apk
2024-10-30 23:44
17K
ifuse-doc-1.1.4-r5.apk
2024-10-30 23:44
2.3K
ifuse-1.1.4-r5.apk
2024-10-30 23:44
9.9K
idevicerestore-doc-1.0.0-r4.apk
2024-10-30 23:44
2.5K
idevicerestore-1.0.0-r4.apk
2024-10-30 23:44
82K
ideviceinstaller-doc-1.1.1-r4.apk
2024-10-30 23:44
2.5K
ideviceinstaller-1.1.1-r4.apk
2024-10-30 23:44
14K
evolution-on-3.24.4-r0.apk
2024-10-30 15:25
10K
normaliz-libs-3.10.4-r0.apk
2024-10-30 14:53
2.6M
normaliz-dev-3.10.4-r0.apk
2024-10-30 14:53
73K
normaliz-3.10.4-r0.apk
2024-10-30 14:53
41K
perl-snmp-info-doc-3.972002-r0.apk
2024-10-30 07:01
379K
perl-snmp-info-3.972002-r0.apk
2024-10-30 07:01
333K
perl-dbix-class-candy-doc-0.005004-r0.apk
2024-10-30 07:01
9.5K
perl-dbix-class-candy-0.005004-r0.apk
2024-10-30 07:01
7.9K
lua5.3-apk3-3.0.0_pre3_git20241029-r0.apk
2024-10-30 06:03
5.8K
apk-tools3-static-3.0.0_pre3_git20241029-r0.apk
2024-10-30 06:03
1.9M
apk-tools3-libs-3.0.0_pre3_git20241029-r0.apk
2024-10-30 06:03
120K
apk-tools3-doc-3.0.0_pre3_git20241029-r0.apk
2024-10-30 06:03
38K
apk-tools3-dev-3.0.0_pre3_git20241029-r0.apk
2024-10-30 06:03
22K
apk-tools3-dbg-3.0.0_pre3_git20241029-r0.apk
2024-10-30 06:03
573K
apk-tools3-3.0.0_pre3_git20241029-r0.apk
2024-10-30 06:03
51K
megatools-doc-1.11.1.20241028-r0.apk
2024-10-29 20:34
52K
megatools-bash-completion-1.11.1.20241028-r0.apk
2024-10-29 20:34
4.0K
megatools-1.11.1.20241028-r0.apk
2024-10-29 20:34
64K
elementary-music-lang-8.0.0-r0.apk
2024-10-28 23:06
47K
elementary-music-8.0.0-r0.apk
2024-10-28 23:06
73K
elementary-calculator-lang-8.0.0-r0.apk
2024-10-28 23:05
57K
elementary-calculator-8.0.0-r0.apk
2024-10-28 23:05
70K
py3-bite-parser-pyc-0.2.5-r0.apk
2024-10-28 22:51
23K
py3-bite-parser-0.2.5-r0.apk
2024-10-28 22:51
14K
wlroots0.16-dev-0.16.2-r0.apk
2024-10-28 14:47
71K
wlroots0.16-dbg-0.16.2-r0.apk
2024-10-28 14:47
1.3M
wlroots0.16-0.16.2-r0.apk
2024-10-28 14:47
347K
php84-pecl-uv-0.3.0-r0.apk
2024-10-28 13:47
54K
makedumpfile-openrc-1.7.6-r0.apk
2024-10-28 05:12
2.9K
makedumpfile-doc-1.7.6-r0.apk
2024-10-28 05:12
24K
makedumpfile-1.7.6-r0.apk
2024-10-28 05:12
158K
pdfcpu-0.9.1-r0.apk
2024-10-27 18:21
4.2M
elementary-feedback-lang-8.0.0-r0.apk
2024-10-27 15:17
43K
elementary-feedback-8.0.0-r0.apk
2024-10-27 15:17
43K
zycore-doc-1.5.0-r0.apk
2024-10-25 22:53
407K
zycore-dev-1.5.0-r0.apk
2024-10-25 22:53
38K
zycore-1.5.0-r0.apk
2024-10-25 22:53
21K
zsh-manydots-magic-0_git20230607-r1.apk
2024-10-25 22:53
2.8K
zsh-fzf-tab-0_git20220331-r1.apk
2024-10-25 22:53
16K
zrepl-zsh-completion-0.6.1-r6.apk
2024-10-25 22:53
1.9K
zrepl-openrc-0.6.1-r6.apk
2024-10-25 22:53
1.7K
zrepl-bash-completion-0.6.1-r6.apk
2024-10-25 22:53
4.5K
zrepl-0.6.1-r6.apk
2024-10-25 22:53
6.3M
znc-push-0_git20220823-r8.apk
2024-10-25 22:53
90K
znc-playback-0_git20210503-r8.apk
2024-10-25 22:53
20K
znc-clientbuffer-1.0.48-r8.apk
2024-10-25 22:53
18K
znc-backlog-0_git20210503-r8.apk
2024-10-25 22:53
21K
zita-resampler-doc-1.10.1-r0.apk
2024-10-25 22:53
4.0K
zita-resampler-dev-1.10.1-r0.apk
2024-10-25 22:53
3.3K
zita-resampler-1.10.1-r0.apk
2024-10-25 22:53
20K
zita-njbridge-doc-0.4.8-r1.apk
2024-10-25 22:53
5.2K
zita-njbridge-0.4.8-r1.apk
2024-10-25 22:53
32K
zile-doc-2.6.2-r1.apk
2024-10-25 22:53
16K
zile-2.6.2-r1.apk
2024-10-25 22:53
120K
zfsbootmenu-doc-2.3.0-r1.apk
2024-10-25 22:53
16K
zfsbootmenu-2.3.0-r1.apk
2024-10-25 22:53
128K
zarchive-libs-0.1.2-r2.apk
2024-10-25 22:53
26K
zarchive-dev-0.1.2-r2.apk
2024-10-25 22:53
6.7K
zarchive-0.1.2-r2.apk
2024-10-25 22:53
15K
zapret-openrc-0.0.0_git20220125-r1.apk
2024-10-25 22:53
2.1K
zapret-doc-0.0.0_git20220125-r1.apk
2024-10-25 22:53
98K
zapret-0.0.0_git20220125-r1.apk
2024-10-25 22:53
84K
zafiro-icon-theme-1.3-r0.apk
2024-10-25 22:53
19M
z-doc-1.12-r0.apk
2024-10-25 22:53
3.9K
z-1.12-r0.apk
2024-10-25 22:53
4.6K
yubikey-agent-0.1.6-r7.apk
2024-10-25 22:53
1.6M
ytt-0.47.0-r5.apk
2024-10-25 22:53
4.1M
ytmdl-zsh-completion-2024.08.15.1-r0.apk
2024-10-25 22:53
2.1K
ytmdl-pyc-2024.08.15.1-r0.apk
2024-10-25 22:53
78K
ytmdl-bash-completion-2024.08.15.1-r0.apk
2024-10-25 22:53
2.2K
ytmdl-2024.08.15.1-r0.apk
2024-10-25 22:53
50K
youtube-viewer-gtk-3.11.1-r0.apk
2024-10-25 22:53
171K
youtube-viewer-doc-3.11.1-r0.apk
2024-10-25 22:53
41K
youtube-viewer-3.11.1-r0.apk
2024-10-25 22:53
83K
youki-dbg-0.4.1-r0.apk
2024-10-25 22:53
4.6K
youki-0.4.1-r0.apk
2024-10-25 22:53
1.6M
yosys-dev-0.42-r0.apk
2024-10-25 22:53
119K
yosys-0.42-r0.apk
2024-10-25 22:53
19M
yoshimi-doc-2.3.2-r0.apk
2024-10-25 22:53
4.5M
yoshimi-2.3.2-r0.apk
2024-10-25 22:53
5.8M
yodl-doc-4.02.00-r1.apk
2024-10-25 22:53
59K
yodl-4.02.00-r1.apk
2024-10-25 22:53
151K
yices2-libs-2.6.4-r0.apk
2024-10-25 22:53
1.0M
yices2-dev-2.6.4-r0.apk
2024-10-25 22:53
41K
yices2-2.6.4-r0.apk
2024-10-25 22:53
2.6M
yeti-1.1-r0.apk
2024-10-25 22:53
816K
ydcv-zsh-completion-0.7-r8.apk
2024-10-25 22:53
2.2K
ydcv-pyc-0.7-r8.apk
2024-10-25 22:53
11K
ydcv-0.7-r8.apk
2024-10-25 22:53
20K
yarr-openrc-2.4-r8.apk
2024-10-25 22:53
2.0K
yarr-doc-2.4-r8.apk
2024-10-25 22:53
7.7K
yarr-2.4-r8.apk
2024-10-25 22:53
3.9M
yamlfmt-doc-0.12.1-r1.apk
2024-10-25 22:53
5.5K
yamlfmt-0.12.1-r1.apk
2024-10-25 22:53
1.5M
yamkix-pyc-0.10.0-r1.apk
2024-10-25 22:53
12K
yamkix-0.10.0-r1.apk
2024-10-25 22:53
14K
yaegi-0.16.1-r3.apk
2024-10-25 22:53
6.8M
xwaylandvideobridge-lang-0.4.0-r1.apk
2024-10-25 22:53
2.5K
xwaylandvideobridge-0.4.0-r1.apk
2024-10-25 22:53
48K
xvkbd-doc-4.1-r2.apk
2024-10-25 22:53
11K
xvkbd-4.1-r2.apk
2024-10-25 22:53
294K
xvidtune-doc-1.0.4-r0.apk
2024-10-25 22:53
4.1K
xvidtune-1.0.4-r0.apk
2024-10-25 22:53
16K
xva-img-1.5-r0.apk
2024-10-25 22:53
17K
xtl-0.7.7-r0.apk
2024-10-25 22:53
111K
xtensor-0.24.7-r0.apk
2024-10-25 22:53
270K
xsoldier-doc-1.8-r2.apk
2024-10-25 22:53
2.6K
xsoldier-1.8-r2.apk
2024-10-25 22:53
68K
xsecurelock-doc-1.9.0-r1.apk
2024-10-25 22:53
18K
xsecurelock-1.9.0-r1.apk
2024-10-25 22:53
67K
xsane-lang-0.999-r2.apk
2024-10-25 22:53
440K
xsane-doc-0.999-r2.apk
2024-10-25 22:53
4.3K
xsane-0.999-r2.apk
2024-10-25 22:53
1.5M
xosview-doc-1.24-r0.apk
2024-10-25 22:53
13K
xosview-1.24-r0.apk
2024-10-25 22:53
121K
xone-src-0.3_git20230517-r0.apk
2024-10-25 22:53
43K
xmppipe-0.16.0-r1.apk
2024-10-25 22:53
16K
xmpp-dns-0.2.4-r19.apk
2024-10-25 22:53
1.6M
xmp-doc-4.2.0-r0.apk
2024-10-25 22:53
5.3K
xmp-4.2.0-r0.apk
2024-10-25 22:53
23K
xmag-doc-1.0.8-r0.apk
2024-10-25 22:53
4.7K
xmag-1.0.8-r0.apk
2024-10-25 22:53
17K
xload-doc-1.1.4-r0.apk
2024-10-25 22:53
3.4K
xload-1.1.4-r0.apk
2024-10-25 22:53
6.7K
xkb-switch-doc-1.8.5-r0.apk
2024-10-25 22:53
2.1K
xkb-switch-1.8.5-r0.apk
2024-10-25 22:53
20K
xisxwayland-doc-2-r1.apk
2024-10-25 22:53
2.0K
xisxwayland-2-r1.apk
2024-10-25 22:53
3.9K
xiccd-doc-0.3.0_git20211219-r1.apk
2024-10-25 22:53
3.5K
xiccd-0.3.0_git20211219-r1.apk
2024-10-25 22:53
16K
xgalaga-doc-2.1.1.0-r1.apk
2024-10-25 22:53
2.5K
xgalaga-2.1.1.0-r1.apk
2024-10-25 22:53
308K
xfe-xfw-1.46.2-r0.apk
2024-10-25 22:53
288K
xfe-xfp-1.46.2-r0.apk
2024-10-25 22:53
245K
xfe-xfi-1.46.2-r0.apk
2024-10-25 22:53
270K
xfe-lang-1.46.2-r0.apk
2024-10-25 22:53
534K
xfe-doc-1.46.2-r0.apk
2024-10-25 22:53
4.0K
xfe-1.46.2-r0.apk
2024-10-25 22:53
1.3M
xfd-doc-1.1.4-r0.apk
2024-10-25 22:53
4.9K
xfd-1.1.4-r0.apk
2024-10-25 22:53
13K
xfce4-panel-profiles-lang-1.0.14-r1.apk
2024-10-25 22:53
44K
xfce4-panel-profiles-doc-1.0.14-r1.apk
2024-10-25 22:53
19K
xfce4-panel-profiles-1.0.14-r1.apk
2024-10-25 22:53
57K
xfce4-mixer-lang-4.18.1-r2.apk
2024-10-25 22:53
59K
xfce4-mixer-doc-4.18.1-r2.apk
2024-10-25 22:53
2.5K
xfce4-mixer-4.18.1-r2.apk
2024-10-25 22:53
86K
xfce4-hamster-plugin-lang-1.17-r0.apk
2024-10-25 22:53
5.3K
xfce4-hamster-plugin-1.17-r0.apk
2024-10-25 22:53
35K
xdg-ninja-0.2.0.2-r0.apk
2024-10-25 22:53
70K
xdg-desktop-portal-hyprland-doc-1.3.3-r0.apk
2024-10-25 22:53
2.4K
xdg-desktop-portal-hyprland-1.3.3-r0.apk
2024-10-25 22:53
262K
xcompmgr-doc-1.1.9-r0.apk
2024-10-25 22:53
2.6K
xcompmgr-1.1.9-r0.apk
2024-10-25 22:53
14K
xcape-doc-1.2-r0.apk
2024-10-25 22:53
3.1K
xcape-1.2-r0.apk
2024-10-25 22:53
6.7K
xa-doc-2.3.14-r0.apk
2024-10-25 22:53
15K
xa-2.3.14-r0.apk
2024-10-25 22:53
60K
x11docker-doc-7.6.0-r1.apk
2024-10-25 22:53
9.4K
x11docker-7.6.0-r1.apk
2024-10-25 22:53
113K
wtfutil-0.43.0-r8.apk
2024-10-25 22:53
18M
wsmancli-doc-2.6.2-r0.apk
2024-10-25 22:52
3.7K
wsmancli-2.6.2-r0.apk
2024-10-25 22:52
17K
wshowkeys-1.0-r0.apk
2024-10-25 22:52
14K
wroomd-openrc-0.1.0-r0.apk
2024-10-25 22:52
1.7K
wroomd-0.1.0-r0.apk
2024-10-25 22:52
1.0M
wput-doc-0.6.2-r4.apk
2024-10-25 22:52
8.2K
wput-0.6.2-r4.apk
2024-10-25 22:52
39K
wpa_actiond-openrc-1.4-r7.apk
2024-10-25 22:52
2.2K
wpa_actiond-1.4-r7.apk
2024-10-25 22:52
9.7K
wordgrinder-doc-0.8-r2.apk
2024-10-25 22:52
18K
wordgrinder-0.8-r2.apk
2024-10-25 22:52
482K
wolfssh-dev-1.4.17-r0.apk
2024-10-25 22:52
274K
wolfssh-1.4.17-r0.apk
2024-10-25 22:52
137K
wol-lang-0.7.1-r3.apk
2024-10-25 22:52
8.2K
wol-doc-0.7.1-r3.apk
2024-10-25 22:52
5.5K
wol-0.7.1-r3.apk
2024-10-25 22:52
26K
wok-pyc-3.0.0-r6.apk
2024-10-25 22:52
130K
wok-lang-3.0.0-r6.apk
2024-10-25 22:52
16K
wok-doc-3.0.0-r6.apk
2024-10-25 22:52
3.6K
wok-3.0.0-r6.apk
2024-10-25 22:52
157K
wmi-client-1.3.16-r5.apk
2024-10-25 22:52
2.5M
wmctrl-doc-1.07-r1.apk
2024-10-25 22:52
5.3K
wmctrl-1.07-r1.apk
2024-10-25 22:52
14K
wlroots0.15-dev-0.15.1-r6.apk
2024-10-25 22:52
70K
wlroots0.15-dbg-0.15.1-r6.apk
2024-10-25 22:52
1.2M
wlroots0.15-0.15.1-r6.apk
2024-10-25 22:52
293K
wlroots0.12-dev-0.12.0-r1.apk
2024-10-25 22:52
61K
wlroots0.12-dbg-0.12.0-r1.apk
2024-10-25 22:52
1.0M
wlroots0.12-0.12.0-r1.apk
2024-10-25 22:52
240K
wlopm-doc-0.1.0-r0.apk
2024-10-25 22:52
2.2K
wlopm-0.1.0-r0.apk
2024-10-25 22:52
6.5K
wlclock-doc-1.0.1-r0.apk
2024-10-25 22:52
3.5K
wlclock-1.0.1-r0.apk
2024-10-25 22:52
16K
wlavu-0_git20201101-r1.apk
2024-10-25 22:52
12K
wl-gammarelay-0.1.1-r9.apk
2024-10-25 22:52
1.5M
wl-clipboard-x11-doc-5-r3.apk
2024-10-25 22:52
2.9K
wl-clipboard-x11-5-r3.apk
2024-10-25 22:52
3.4K
witchery-0.0.3-r2.apk
2024-10-25 22:52
3.2K
wiremapper-0.10.0-r0.apk
2024-10-25 22:52
22K
wireguard-go-doc-0.0.20230223-r7.apk
2024-10-25 22:52
3.6K
wireguard-go-0.0.20230223-r7.apk
2024-10-25 22:52
1.1M
wiki-tui-doc-0.8.2-r1.apk
2024-10-25 22:52
4.6K
wiki-tui-0.8.2-r1.apk
2024-10-25 22:52
1.6M
whipper-pyc-0.10.0-r5.apk
2024-10-25 22:52
185K
whipper-0.10.0-r5.apk
2024-10-25 22:52
113K
wf-shell-doc-0.8.1-r0.apk
2024-10-25 22:52
2.2K
wf-shell-dev-0.8.1-r0.apk
2024-10-25 22:52
1.7K
wf-shell-0.8.1-r0.apk
2024-10-25 22:52
6.1M
wf-config-dev-0.8.0-r1.apk
2024-10-25 22:52
16K
wf-config-0.8.0-r1.apk
2024-10-25 22:52
99K
wcm-0.8.0-r0.apk
2024-10-25 22:52
367K
wch-isp-udev-rules-0.4.1-r2.apk
2024-10-25 22:52
1.6K
wch-isp-doc-0.4.1-r2.apk
2024-10-25 22:52
2.7K
wch-isp-0.4.1-r2.apk
2024-10-25 22:52
11K
wbg-1.2.0-r0.apk
2024-10-25 22:52
40K
wayqt-dev-0.2.0-r0.apk
2024-10-25 22:52
18K
wayqt-0.2.0-r0.apk
2024-10-25 22:52
106K
waynergy-0.0.17-r0.apk
2024-10-25 22:52
53K
wayfire-plugins-extra-0.8.1-r1.apk
2024-10-25 22:52
572K
wayfire-doc-0.8.1-r1.apk
2024-10-25 22:52
2.7K
wayfire-dev-0.8.1-r1.apk
2024-10-25 22:52
135K
wayfire-0.8.1-r1.apk
2024-10-25 22:52
2.3M
way-displays-doc-1.8.1-r2.apk
2024-10-25 22:52
4.1K
way-displays-1.8.1-r2.apk
2024-10-25 22:52
82K
watchdog-doc-5.16-r2.apk
2024-10-25 22:52
14K
watchdog-5.16-r2.apk
2024-10-25 22:52
43K
watchbind-doc-0.2.1-r1.apk
2024-10-25 22:52
6.6K
watchbind-0.2.1-r1.apk
2024-10-25 22:52
1.1M
wasm-tools-doc-1.215.0-r0.apk
2024-10-25 22:52
7.2K
wasm-tools-1.215.0-r0.apk
2024-10-25 22:52
2.7M
walk-sor-doc-0_git20190920-r1.apk
2024-10-25 22:52
7.8K
walk-sor-0_git20190920-r1.apk
2024-10-25 22:52
5.4K
wakeonlan-doc-0.42-r0.apk
2024-10-25 22:52
7.5K
wakeonlan-0.42-r0.apk
2024-10-25 22:52
4.5K
wabt-doc-1.0.36-r0.apk
2024-10-25 22:52
14K
wabt-1.0.36-r0.apk
2024-10-25 22:52
4.6M
w_scan2-doc-1.0.15-r0.apk
2024-10-25 22:52
4.1K
w_scan2-1.0.15-r0.apk
2024-10-25 22:52
142K
vym-doc-2.9.26-r0.apk
2024-10-25 22:52
3.4M
vym-2.9.26-r0.apk
2024-10-25 22:52
2.8M
volumeicon-lang-0.5.1-r1.apk
2024-10-25 22:52
3.7K
volumeicon-0.5.1-r1.apk
2024-10-25 22:52
41K
voikko-fi-2.5-r0.apk
2024-10-25 22:52
1.6M
vmtouch-doc-1.3.1-r0.apk
2024-10-25 22:52
7.0K
vmtouch-1.3.1-r0.apk
2024-10-25 22:52
12K
vkbasalt-doc-0.3.2.10-r0.apk
2024-10-25 22:52
3.1K
vkbasalt-0.3.2.10-r0.apk
2024-10-25 22:52
501K
vit-pyc-2.3.2-r1.apk
2024-10-25 22:52
151K
vit-2.3.2-r1.apk
2024-10-25 22:52
80K
visidata-zsh-completion-2.11.1-r2.apk
2024-10-25 22:52
7.2K
visidata-pyc-2.11.1-r2.apk
2024-10-25 22:52
496K
visidata-doc-2.11.1-r2.apk
2024-10-25 22:52
16K
visidata-2.11.1-r2.apk
2024-10-25 22:52
248K
vim-rust-305-r0.apk
2024-10-25 22:52
20K
vim-airline-doc-0.11-r0.apk
2024-10-25 22:52
12K
vim-airline-0.11-r0.apk
2024-10-25 22:52
87K
vile-doc-9.8z-r0.apk
2024-10-25 22:52
15K
vile-9.8z-r0.apk
2024-10-25 22:52
2.1M
viewnior-lang-1.8-r1.apk
2024-10-25 22:52
85K
viewnior-doc-1.8-r1.apk
2024-10-25 22:52
2.1K
viewnior-1.8-r1.apk
2024-10-25 22:52
74K
vidcutter-pyc-6.0.5.3-r0.apk
2024-10-25 22:52
1.9M
vidcutter-doc-6.0.5.3-r0.apk
2024-10-25 22:52
24K
vidcutter-6.0.5.3-r0.apk
2024-10-25 22:52
2.8M
vice-3.8-r0.apk
2024-10-25 22:52
13M
vfd-configurations-0_git20230612-r0.apk
2024-10-25 22:52
25K
vera++-1.3.0-r10.apk
2024-10-25 22:52
248K
venc-pyc-3.2.4-r0.apk
2024-10-25 22:52
128K
venc-3.2.4-r0.apk
2024-10-25 22:52
251K
vectoroids-doc-1.1.0-r2.apk
2024-10-25 22:52
2.3K
vectoroids-1.1.0-r2.apk
2024-10-25 22:52
282K
vcstool-zsh-completion-0.3.0-r5.apk
2024-10-25 22:52
1.7K
vcstool-tcsh-completion-0.3.0-r5.apk
2024-10-25 22:52
1.6K
vcstool-pyc-0.3.0-r5.apk
2024-10-25 22:52
58K
vcstool-bash-completion-0.3.0-r5.apk
2024-10-25 22:52
1.7K
vcstool-0.3.0-r5.apk
2024-10-25 22:52
35K
vcsh-zsh-completion-2.0.5-r0.apk
2024-10-25 22:52
2.9K
vcsh-doc-2.0.5-r0.apk
2024-10-25 22:52
27K
vcsh-bash-completion-2.0.5-r0.apk
2024-10-25 22:52
2.9K
vcsh-2.0.5-r0.apk
2024-10-25 22:52
8.8K
vcdimager-doc-2.0.1-r3.apk
2024-10-25 22:52
75K
vcdimager-dev-2.0.1-r3.apk
2024-10-25 22:52
174K
vcdimager-2.0.1-r3.apk
2024-10-25 22:52
483K
vbindiff-doc-3.0_beta5-r1.apk
2024-10-25 22:52
5.4K
vbindiff-3.0_beta5-r1.apk
2024-10-25 22:52
21K
varnish-modules-doc-0.24.0-r0.apk
2024-10-25 22:52
21K
varnish-modules-0.24.0-r0.apk
2024-10-25 22:52
42K
uxn-doc-1.0-r0.apk
2024-10-25 22:52
4.2K
uxn-1.0-r0.apk
2024-10-25 22:52
43K
uucp-doc-1.07-r6.apk
2024-10-25 22:52
118K
uucp-1.07-r6.apk
2024-10-25 22:52
507K
ustream-ssl-dev-20220116-r1.apk
2024-10-25 22:52
2.5K
ustream-ssl-20220116-r1.apk
2024-10-25 22:52
6.7K
ustr-static-1.0.4-r1.apk
2024-10-25 22:52
323K
ustr-doc-1.0.4-r1.apk
2024-10-25 22:52
97K
ustr-dev-1.0.4-r1.apk
2024-10-25 22:52
91K
ustr-debug-1.0.4-r1.apk
2024-10-25 22:52
75K
ustr-1.0.4-r1.apk
2024-10-25 22:52
56K
urlwatch-pyc-2.28-r2.apk
2024-10-25 22:52
101K
urlwatch-doc-2.28-r2.apk
2024-10-25 22:52
33K
urlwatch-2.28-r2.apk
2024-10-25 22:52
49K
uranium-5.2.2-r3.apk
2024-10-25 22:52
596K
upterm-zsh-completion-0.14.3-r0.apk
2024-10-25 22:52
4.0K
upterm-server-openrc-0.14.3-r0.apk
2024-10-25 22:52
1.9K
upterm-server-0.14.3-r0.apk
2024-10-25 22:52
5.4M
upterm-doc-0.14.3-r0.apk
2024-10-25 22:52
6.5K
upterm-bash-completion-0.14.3-r0.apk
2024-10-25 22:52
5.5K
upterm-0.14.3-r0.apk
2024-10-25 22:52
5.6M
up-0.4-r24.apk
2024-10-25 22:52
1.1M
ueberzug-pyc-18.2.3-r0.apk
2024-10-25 22:52
64K
ueberzug-18.2.3-r0.apk
2024-10-25 22:52
64K
uclient-fetch-20210514-r0.apk
2024-10-25 22:52
9.7K
uclient-dev-20210514-r0.apk
2024-10-25 22:52
3.4K
uclient-20210514-r0.apk
2024-10-25 22:52
13K
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk
2024-10-25 22:52
2.3K
ubuntu-archive-keyring-2023.11.28.1-r0.apk
2024-10-25 22:52
16K
ubase-doc-20200605-r3.apk
2024-10-25 22:52
21K
ubase-20200605-r3.apk
2024-10-25 22:52
44K
u1db-qt-0.1.8-r0.apk
2024-10-25 22:52
96K
typos-doc-1.23.2-r0.apk
2024-10-25 22:52
6.1K
typos-1.23.2-r0.apk
2024-10-25 22:52
3.8M
twemproxy-doc-0.5.0-r0.apk
2024-10-25 22:52
17K
twemproxy-0.5.0-r0.apk
2024-10-25 22:52
68K
turnstile-openrc-0.1.10-r3.apk
2024-10-25 22:52
1.8K
turnstile-doc-0.1.10-r3.apk
2024-10-25 22:52
5.7K
turnstile-0.1.10-r3.apk
2024-10-25 22:52
38K
tup-vim-0.7.11-r0.apk
2024-10-25 22:52
2.6K
tup-doc-0.7.11-r0.apk
2024-10-25 22:52
21K
tup-0.7.11-r0.apk
2024-10-25 22:52
235K
ttyper-1.5.0-r0.apk
2024-10-25 22:52
578K
tty-share-2.4.0-r13.apk
2024-10-25 22:52
3.3M
tty-clock-doc-2.3_git20240104-r0.apk
2024-10-25 22:52
3.1K
tty-clock-2.3_git20240104-r0.apk
2024-10-25 22:52
8.2K
ttfautohint-libs-1.8.4-r0.apk
2024-10-25 22:52
110K
ttfautohint-gui-1.8.4-r0.apk
2024-10-25 22:52
58K
ttfautohint-doc-1.8.4-r0.apk
2024-10-25 22:52
8.1K
ttfautohint-dev-1.8.4-r0.apk
2024-10-25 22:52
247K
ttfautohint-1.8.4-r0.apk
2024-10-25 22:52
28K
tsung-1.8.0-r2.apk
2024-10-25 22:52
730K
trigger-rally-doc-0.6.7-r2.apk
2024-10-25 22:52
28K
trigger-rally-data-0.6.7-r2.apk
2024-10-25 22:52
352M
trigger-rally-0.6.7-r2.apk
2024-10-25 22:51
305K
tremc-zsh-completion-0.9.3-r0.apk
2024-10-25 22:51
1.8K
tremc-doc-0.9.3-r0.apk
2024-10-25 22:51
2.9K
tremc-bash-completion-0.9.3-r0.apk
2024-10-25 22:51
1.9K
tremc-0.9.3-r0.apk
2024-10-25 22:51
48K
tree-sitter-scheme-doc-0.23.0-r0.apk
2024-10-25 22:51
2.2K
tree-sitter-scheme-0.23.0-r0.apk
2024-10-25 22:51
24K
tree-sitter-ron-0.2.0-r0.apk
2024-10-25 22:51
32K
tree-sitter-pascal-doc-0.9.1-r0.apk
2024-10-25 22:51
2.3K
tree-sitter-pascal-0.9.1-r0.apk
2024-10-25 22:51
84K
tree-sitter-nix-doc-0_git20230713-r0.apk
2024-10-25 22:51
2.2K
tree-sitter-nix-0_git20230713-r0.apk
2024-10-25 22:51
24K
tree-sitter-markdown-doc-0.3.2-r0.apk
2024-10-25 22:51
2.2K
tree-sitter-markdown-0.3.2-r0.apk
2024-10-25 22:51
130K
tree-sitter-make-0_git20211216-r2.apk
2024-10-25 22:51
43K
tree-sitter-kotlin-0.3.8-r0.apk
2024-10-25 22:51
322K
tree-sitter-just-0_git20230318-r0.apk
2024-10-25 22:51
15K
tree-sitter-hcl-1.1.0-r1.apk
2024-10-25 22:51
45K
tree-sitter-haskell-0.23.0-r0.apk
2024-10-25 22:51
289K
tree-sitter-hare-0_git20230616-r1.apk
2024-10-25 22:51
35K
tree-sitter-gleam-1.0.0-r0.apk
2024-10-25 22:51
44K
tree-sitter-git-rebase-0_git20220110-r2.apk
2024-10-25 22:51
7.5K
tree-sitter-git-diff-0_git20230730-r0.apk
2024-10-25 22:51
11K
tree-sitter-git-commit-0_git20211225-r2.apk
2024-10-25 22:51
14K
tree-sitter-dart-0_git20230123-r1.apk
2024-10-25 22:51
93K
tree-sitter-clojure-0.0.12-r0.apk
2024-10-25 22:51
24K
tree-sitter-caddy-doc-0_git20230322-r0.apk
2024-10-25 22:51
2.3K
tree-sitter-caddy-0_git20230322-r0.apk
2024-10-25 22:51
76K
tre-static-0.8.0-r2.apk
2024-10-25 22:51
51K
tre-dev-0.8.0-r2.apk
2024-10-25 22:51
5.1K
tre-0.8.0-r2.apk
2024-10-25 22:51
27K
trantor-doc-1.5.18-r0.apk
2024-10-25 22:51
2.6K
trantor-dev-1.5.18-r0.apk
2024-10-25 22:51
34K
trantor-1.5.18-r0.apk
2024-10-25 22:51
228K
transmission-remote-gtk-lang-1.6.0-r0.apk
2024-10-25 22:51
106K
transmission-remote-gtk-doc-1.6.0-r0.apk
2024-10-25 22:51
3.2K
transmission-remote-gtk-1.6.0-r0.apk
2024-10-25 22:51
130K
tpp-bypass-0.8.4-r0.apk
2024-10-25 22:51
13K
tpm2-pkcs11-pyc-1.9.1-r0.apk
2024-10-25 22:51
69K
tpm2-pkcs11-dev-1.9.1-r0.apk
2024-10-25 22:51
1.9K
tpm2-pkcs11-1.9.1-r0.apk
2024-10-25 22:51
131K
toybox-0.8.11-r1.apk
2024-10-25 22:51
281K
toss-1.1-r0.apk
2024-10-25 22:51
10K
torrent-file-editor-0.3.18-r0.apk
2024-10-25 22:51
362K
topgit-doc-0.19.13-r1.apk
2024-10-25 22:51
73K
topgit-bash-completion-0.19.13-r1.apk
2024-10-25 22:51
4.0K
topgit-0.19.13-r1.apk
2024-10-25 22:51
127K
today-doc-6.2.0-r0.apk
2024-10-25 22:51
3.3K
today-6.2.0-r0.apk
2024-10-25 22:51
3.1K
toapk-1.0-r0.apk
2024-10-25 22:51
10K
tnef-doc-1.4.18-r0.apk
2024-10-25 22:51
4.2K
tnef-1.4.18-r0.apk
2024-10-25 22:51
25K
tncattach-doc-0.1.9-r1.apk
2024-10-25 22:51
3.9K
tncattach-0.1.9-r1.apk
2024-10-25 22:51
22K
tmux-resurrect-doc-4.0.0-r0.apk
2024-10-25 22:51
8.4K
tmux-resurrect-4.0.0-r0.apk
2024-10-25 22:51
14K
tmpmail-doc-1.2.3-r2.apk
2024-10-25 22:51
3.2K
tmpmail-1.2.3-r2.apk
2024-10-25 22:51
7.0K
tmpl-doc-0.4.0-r6.apk
2024-10-25 22:51
2.2K
tmpl-0.4.0-r6.apk
2024-10-25 22:51
2.3M
tmate-doc-2.4.0-r4.apk
2024-10-25 22:51
72K
tmate-2.4.0-r4.apk
2024-10-25 22:51
283K
tkts-2.0-r0.apk
2024-10-25 22:51
17K
tinyscheme-1.42-r1.apk
2024-10-25 22:51
61K
tinycbor-dev-0.6.0-r1.apk
2024-10-25 22:51
8.3K
tinycbor-0.6.0-r1.apk
2024-10-25 22:51
18K
tintin-2.02.31-r0.apk
2024-10-25 22:51
1.9M
timewarrior-doc-1.7.1-r0.apk
2024-10-25 22:51
22K
timewarrior-1.7.1-r0.apk
2024-10-25 22:51
270K
timew-doc-1.4.3-r1.apk
2024-10-25 22:51
53K
timew-bash-completion-1.4.3-r1.apk
2024-10-25 22:51
2.7K
timew-1.4.3-r1.apk
2024-10-25 22:51
275K
time-doc-1.9-r1.apk
2024-10-25 22:51
15K
time-1.9-r1.apk
2024-10-25 22:51
11K
tick-doc-1.2.1-r0.apk
2024-10-25 22:51
5.5K
tick-1.2.1-r0.apk
2024-10-25 22:51
11K
thunarx-python-doc-0.5.2-r2.apk
2024-10-25 22:51
25K
thunarx-python-0.5.2-r2.apk
2024-10-25 22:51
9.8K
thunar-gtkhash-plugin-1.5-r0.apk
2024-10-25 22:51
23K
thumbdrives-0.3.2-r2.apk
2024-10-25 22:51
11K
theme.sh-doc-1.1.5-r0.apk
2024-10-25 22:51
2.3K
theme.sh-1.1.5-r0.apk
2024-10-25 22:51
39K
thelounge-openrc-4.4.3-r0.apk
2024-10-25 22:51
2.0K
thelounge-doc-4.4.3-r0.apk
2024-10-25 22:51
2.3K
thelounge-4.4.3-r0.apk
2024-10-25 22:51
29M
thefuck-pyc-3.32-r5.apk
2024-10-25 22:51
156K
thefuck-3.32-r5.apk
2024-10-25 22:51
83K
theforceengine-doc-1.09.540-r1.apk
2024-10-25 22:51
6.3M
theforceengine-1.09.540-r1.apk
2024-10-25 22:51
6.9M
thanos-openrc-0.31.0-r8.apk
2024-10-25 22:51
2.0K
thanos-0.31.0-r8.apk
2024-10-25 22:51
20M
tfupdate-doc-0.8.2-r1.apk
2024-10-25 22:51
2.3K
tfupdate-0.8.2-r1.apk
2024-10-25 22:51
4.4M
terminalpp-ropen-0.8.4-r0.apk
2024-10-25 22:51
58K
terminalpp-0.8.4-r0.apk
2024-10-25 22:51
417K
termcolor-dev-2.1.0-r0.apk
2024-10-25 22:51
6.8K
termcolor-2.1.0-r0.apk
2024-10-25 22:51
1.4K
termbox-static-1.1.2-r1.apk
2024-10-25 22:51
20K
termbox-dev-1.1.2-r1.apk
2024-10-25 22:51
5.7K
termbox-1.1.2-r1.apk
2024-10-25 22:51
13K
tenv-zsh-completion-3.2.4-r2.apk
2024-10-25 22:51
4.0K
tenv-fish-completion-3.2.4-r2.apk
2024-10-25 22:51
4.3K
tenv-bash-completion-3.2.4-r2.apk
2024-10-25 22:51
5.0K
tenv-3.2.4-r2.apk
2024-10-25 22:51
8.7M
tdrop-doc-0.5.0-r0.apk
2024-10-25 22:51
9.1K
tdrop-0.5.0-r0.apk
2024-10-25 22:51
12K
tcmu-runner-rbd-1.6.0-r6.apk
2024-10-25 22:51
13K
tcmu-runner-doc-1.6.0-r6.apk
2024-10-25 22:51
2.5K
tcmu-runner-1.6.0-r6.apk
2024-10-25 22:51
88K
tcl-curl-doc-7.22.0-r0.apk
2024-10-25 22:51
38K
tcl-curl-7.22.0-r0.apk
2024-10-25 22:51
34K
tayga-doc-0.9.2-r0.apk
2024-10-25 22:51
5.5K
tayga-0.9.2-r0.apk
2024-10-25 22:51
25K
taskcafe-openrc-0.3.6-r8.apk
2024-10-25 22:51
1.8K
taskcafe-0.3.6-r8.apk
2024-10-25 22:51
13M
tartube-pyc-2.5.0-r1.apk
2024-10-25 22:51
1.1M
tartube-2.5.0-r1.apk
2024-10-25 22:51
2.7M
tanidvr-dhav2mkv-1.4.1-r1.apk
2024-10-25 22:51
15K
tanidvr-1.4.1-r1.apk
2024-10-25 22:51
26K
tangctl-0_git20220412-r19.apk
2024-10-25 22:51
2.3M
tachyon-scenes-0.99_beta6-r1.apk
2024-10-25 22:51
1.9M
tachyon-0.99_beta6-r1.apk
2024-10-25 22:51
109K
tabby-doc-3.1-r1.apk
2024-10-25 22:51
2.2K
tabby-3.1-r1.apk
2024-10-25 22:51
32K
t2sz-1.1.2-r0.apk
2024-10-25 22:51
8.7K
syncthing-gtk-pyc-0.9.4.5-r2.apk
2024-10-25 22:51
220K
syncthing-gtk-doc-0.9.4.5-r2.apk
2024-10-25 22:51
2.2K
syncthing-gtk-0.9.4.5-r2.apk
2024-10-25 22:51
440K
sympow-doc-2.023.7-r2.apk
2024-10-25 22:51
3.1K
sympow-2.023.7-r2.apk
2024-10-25 22:51
1.8M
symengine-0.12.0-r0.apk
2024-10-25 22:51
6.1M
symbiyosys-0.36-r0.apk
2024-10-25 22:51
38K
sylpheed-imap-notify-1.1.0-r2.apk
2024-10-25 22:51
8.4K
sxcs-doc-1.1.0-r0.apk
2024-10-25 22:51
2.6K
sxcs-1.1.0-r0.apk
2024-10-25 22:51
8.4K
swig3-doc-3.0.12-r3.apk
2024-10-25 22:51
3.7K
swig3-3.0.12-r3.apk
2024-10-25 22:51
1.2M
swayhide-0.2.1-r2.apk
2024-10-25 22:51
270K
sway-audio-idle-inhibit-0.1.2-r0.apk
2024-10-25 22:51
10K
swappy-lang-1.5.1-r0.apk
2024-10-25 22:51
3.6K
swappy-doc-1.5.1-r0.apk
2024-10-25 22:51
3.6K
swappy-1.5.1-r0.apk
2024-10-25 22:51
28K
swaks-doc-20240103.0-r0.apk
2024-10-25 22:51
50K
swaks-20240103.0-r0.apk
2024-10-25 22:51
66K
svls-doc-0.2.12-r0.apk
2024-10-25 22:51
2.2K
svls-0.2.12-r0.apk
2024-10-25 22:51
3.7M
suru-icon-theme-20.05.1_git20221222-r1.apk
2024-10-25 22:51
3.0M
surfraw-doc-2.3.0-r0.apk
2024-10-25 22:51
18K
surfraw-2.3.0-r0.apk
2024-10-25 22:51
79K
surf-doc-2.1-r3.apk
2024-10-25 22:51
4.6K
surf-2.1-r3.apk
2024-10-25 22:51
22K
sudo-ldap-1.9.14-r1.apk
2024-10-25 22:51
703K
subliminal-pyc-2.2.1-r0.apk
2024-10-25 22:51
135K
subliminal-2.2.1-r0.apk
2024-10-25 22:51
68K
sublime-music-pyc-0.12.0-r1.apk
2024-10-25 22:51
302K
sublime-music-0.12.0-r1.apk
2024-10-25 22:51
189K
subdl-pyc-0_git20230616-r1.apk
2024-10-25 22:51
14K
subdl-0_git20230616-r1.apk
2024-10-25 22:51
8.7K
stw-doc-0.3-r0.apk
2024-10-25 22:51
2.5K
stw-0.3-r0.apk
2024-10-25 22:51
7.7K
stone-soup-0.32.1-r0.apk
2024-10-25 22:51
33M
sthttpd-openrc-2.27.1-r2.apk
2024-10-25 22:51
1.9K
sthttpd-doc-2.27.1-r2.apk
2024-10-25 22:51
18K
sthttpd-2.27.1-r2.apk
2024-10-25 22:51
57K
stgit-zsh-completion-2.4.7-r1.apk
2024-10-25 22:51
24K
stgit-vim-2.4.7-r1.apk
2024-10-25 22:51
3.5K
stgit-fish-completion-2.4.7-r1.apk
2024-10-25 22:51
12K
stgit-emacs-2.4.7-r1.apk
2024-10-25 22:51
28K
stgit-doc-2.4.7-r1.apk
2024-10-25 22:51
126K
stgit-bash-completion-2.4.7-r1.apk
2024-10-25 22:51
17K
stgit-2.4.7-r1.apk
2024-10-25 22:51
2.0M
stern-zsh-completion-1.31.0-r0.apk
2024-10-25 22:51
4.0K
stern-fish-completion-1.31.0-r0.apk
2024-10-25 22:51
4.3K
stern-bash-completion-1.31.0-r0.apk
2024-10-25 22:51
5.8K
stern-1.31.0-r0.apk
2024-10-25 22:51
17M
steghide-doc-0.5.1.1-r0.apk
2024-10-25 22:51
14K
steghide-0.5.1.1-r0.apk
2024-10-25 22:51
146K
stayrtr-openrc-0.6.1-r0.apk
2024-10-25 22:51
2.0K
stayrtr-0.6.1-r0.apk
2024-10-25 22:51
9.4M
startup-tools-2.0.3-r5.apk
2024-10-25 22:51
13K
startup-lang-2.0.3-r5.apk
2024-10-25 22:51
17K
startup-fish-completion-2.0.3-r5.apk
2024-10-25 22:51
5.4K
startup-doc-2.0.3-r5.apk
2024-10-25 22:51
48K
startup-dev-2.0.3-r5.apk
2024-10-25 22:51
5.8K
startup-bridge-udev-2.0.3-r5.apk
2024-10-25 22:51
33K
startup-bridge-dconf-2.0.3-r5.apk
2024-10-25 22:51
33K
startup-2.0.3-r5.apk
2024-10-25 22:51
442K
starfighter-doc-2.4-r0.apk
2024-10-25 22:51
22K
starfighter-2.4-r0.apk
2024-10-25 22:51
48M
stacker-doc-1.0.0-r0.apk
2024-10-25 22:51
15K
stacker-1.0.0-r0.apk
2024-10-25 22:51
23M
ssss-doc-0.5.7-r0.apk
2024-10-25 22:51
3.6K
ssss-0.5.7-r0.apk
2024-10-25 22:51
13K
sshuttle-pyc-1.1.2-r0.apk
2024-10-25 22:51
101K
sshuttle-doc-1.1.2-r0.apk
2024-10-25 22:51
8.5K
sshuttle-1.1.2-r0.apk
2024-10-25 22:51
62K
sshsrv-1.0-r7.apk
2024-10-25 22:51
949K
ssh-tools-1.8-r0.apk
2024-10-25 22:51
26K
ssh-honeypot-openrc-0.1.1-r1.apk
2024-10-25 22:51
2.0K
ssh-honeypot-0.1.1-r1.apk
2024-10-25 22:51
8.6K
ssh-cert-authority-2.0.0-r21.apk
2024-10-25 22:51
4.5M
ssdfs-tools-dev-4.09-r0.apk
2024-10-25 22:51
18K
ssdfs-tools-4.09-r0.apk
2024-10-25 22:51
91K
srb2-data-2.2.13-r0.apk
2024-10-25 22:51
138M
srb2-2.2.13-r0.apk
2024-10-25 22:50
1.9M
sqm-scripts-1.6.0-r0.apk
2024-10-25 22:50
20K
sqliteodbc-0.99991-r0.apk
2024-10-25 22:50
92K
sqlar-doc-0_git20180107-r1.apk
2024-10-25 22:50
3.3K
sqlar-0_git20180107-r1.apk
2024-10-25 22:50
12K
sqawk-doc-0.24.0-r0.apk
2024-10-25 22:50
113K
sqawk-0.24.0-r0.apk
2024-10-25 22:50
14K
spvm-mime-base64-doc-1.001003-r1.apk
2024-10-25 22:50
5.4K
spvm-mime-base64-1.001003-r1.apk
2024-10-25 22:50
16K
spvm-math-doc-1.001-r1.apk
2024-10-25 22:50
6.2K
spvm-math-1.001-r1.apk
2024-10-25 22:50
23K
spvm-errno-doc-0.092-r1.apk
2024-10-25 22:50
5.9K
spvm-errno-0.092-r1.apk
2024-10-25 22:50
17K
spread-sheet-widget-doc-0.10-r0.apk
2024-10-25 22:50
4.6K
spread-sheet-widget-dev-0.10-r0.apk
2024-10-25 22:50
690K
spread-sheet-widget-dbg-0.10-r0.apk
2024-10-25 22:50
196K
spread-sheet-widget-0.10-r0.apk
2024-10-25 22:50
45K
spnavcfg-1.1-r0.apk
2024-10-25 22:50
36K
spiped-1.6.2-r1.apk
2024-10-25 22:50
68K
spike-1.1.0-r0.apk
2024-10-25 22:50
1.4M
spice-html5-0.3.0-r1.apk
2024-10-25 22:50
439K
speedtest_exporter-openrc-0.3.2-r10.apk
2024-10-25 22:50
1.9K
speedtest_exporter-0.3.2-r10.apk
2024-10-25 22:50
3.5M
speedtest-go-openrc-1.1.5-r10.apk
2024-10-25 22:50
1.7K
speedtest-go-doc-1.1.5-r10.apk
2024-10-25 22:50
4.5K
speedtest-go-1.1.5-r10.apk
2024-10-25 22:50
5.0M
speedtest-examples-5.2.5-r1.apk
2024-10-25 22:50
13K
speedtest-doc-5.2.5-r1.apk
2024-10-25 22:50
18K
speedtest-5.2.5-r1.apk
2024-10-25 22:50
253K
spark-2.8.3-r1.apk
2024-10-25 22:50
29M
spampd-openrc-2.61-r1.apk
2024-10-25 22:50
2.1K
spampd-2.61-r1.apk
2024-10-25 22:50
39K
spacenavd-1.2-r0.apk
2024-10-25 22:50
33K
spacectl-zsh-completion-1.0.0-r1.apk
2024-10-25 22:50
1.8K
spacectl-fish-completion-1.0.0-r1.apk
2024-10-25 22:50
6.1K
spacectl-doc-1.0.0-r1.apk
2024-10-25 22:50
2.3K
spacectl-bash-completion-1.0.0-r1.apk
2024-10-25 22:50
2.0K
spacectl-1.0.0-r1.apk
2024-10-25 22:50
4.9M
soundfont-vintage-dreams-waves-doc-2.1-r2.apk
2024-10-25 22:50
2.2K
soundfont-vintage-dreams-waves-2.1-r2.apk
2024-10-25 22:50
91K
sos-0.8-r26.apk
2024-10-25 22:50
2.4M
sopwith-doc-2.5.0-r0.apk
2024-10-25 22:50
15K
sopwith-2.5.0-r0.apk
2024-10-25 22:50
50K
somebar-doc-1.0.3-r0.apk
2024-10-25 22:50
2.4K
somebar-1.0.3-r0.apk
2024-10-25 22:50
52K
solanum-lang-5.0.0-r0.apk
2024-10-25 22:50
40K
solanum-5.0.0-r0.apk
2024-10-25 22:50
252K
soapy-sdr-remote-openrc-0.5.2-r1.apk
2024-10-25 22:50
1.7K
soapy-sdr-remote-doc-0.5.2-r1.apk
2024-10-25 22:50
2.4K
soapy-sdr-remote-0.5.2-r1.apk
2024-10-25 22:50
192K
soapy-hackrf-0.3.4-r2.apk
2024-10-25 22:50
28K
so-0.4.10-r0.apk
2024-10-25 22:50
2.2M
snore-doc-0.3.1-r0.apk
2024-10-25 22:50
3.0K
snore-0.3.1-r0.apk
2024-10-25 22:50
4.4K
sndfile-tools-doc-1.5-r1.apk
2024-10-25 22:50
361K
sndfile-tools-1.5-r1.apk
2024-10-25 22:50
41K
snapraid-doc-12.3-r0.apk
2024-10-25 22:50
17K
snapraid-12.3-r0.apk
2024-10-25 22:50
261K
smile-lang-2.9.5-r0.apk
2024-10-25 22:50
24K
smile-2.9.5-r0.apk
2024-10-25 22:50
694K
slurm-doc-0.4.4-r0.apk
2024-10-25 22:50
2.5K
slurm-0.4.4-r0.apk
2024-10-25 22:50
14K
sloccount-doc-2.26-r3.apk
2024-10-25 22:50
60K
sloccount-2.26-r3.apk
2024-10-25 22:50
65K
slidge-pyc-0.1.3-r0.apk
2024-10-25 22:50
285K
slidge-openrc-0.1.3-r0.apk
2024-10-25 22:50
2.3K
slidge-doc-0.1.3-r0.apk
2024-10-25 22:50
4.5K
slidge-0.1.3-r0.apk
2024-10-25 22:50
145K
sish-openrc-2.16.0-r2.apk
2024-10-25 22:50
1.9K
sish-2.16.0-r2.apk
2024-10-25 22:50
7.4M
sipgrep-2.2.0-r1.apk
2024-10-25 22:50
26K
sipexer-1.1.0-r8.apk
2024-10-25 22:50
2.5M
singular-static-4.4.0-r0.apk
2024-10-25 22:50
11M
singular-emacs-4.4.0-r0.apk
2024-10-25 22:50
101K
singular-doc-4.4.0-r0.apk
2024-10-25 22:50
1.3M
singular-dev-4.4.0-r0.apk
2024-10-25 22:50
371K
singular-4.4.0-r0.apk
2024-10-25 22:50
11M
simp1e-cursors-solarized-0_git20211003-r0.apk
2024-10-25 22:50
1.5K
simp1e-cursors-snow-0_git20211003-r0.apk
2024-10-25 22:50
1.5K
simp1e-cursors-dark-0_git20211003-r0.apk
2024-10-25 22:50
1.5K
simp1e-cursors-breeze-0_git20211003-r0.apk
2024-10-25 22:50
1.5K
simp1e-cursors-0_git20211003-r0.apk
2024-10-25 22:50
1.5K
simh-3.11.1-r1.apk
2024-10-25 22:50
3.4M
simgear-dev-2020.3.19-r1.apk
2024-10-25 22:50
394K
simgear-2020.3.19-r1.apk
2024-10-25 22:50
1.8M
silc-client-doc-1.1.11-r17.apk
2024-10-25 22:50
83K
silc-client-1.1.11-r17.apk
2024-10-25 22:50
894K
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk
2024-10-25 22:50
13K
sigrok-cli-doc-0.7.2-r0.apk
2024-10-25 22:50
8.0K
sigrok-cli-0.7.2-r0.apk
2024-10-25 22:50
38K
sigma-pyc-0.23.1-r1.apk
2024-10-25 22:50
340K
sigma-0.23.1-r1.apk
2024-10-25 22:50
237K
shutdown-clear-machine-id-1.0.0-r0.apk
2024-10-25 22:50
1.8K
shntool-doc-3.0.10-r4.apk
2024-10-25 22:50
10K
shntool-3.0.10-r4.apk
2024-10-25 22:50
59K
shipments-0.3.0-r0.apk
2024-10-25 22:50
24K
shine-3.1.1-r0.apk
2024-10-25 22:50
69K
shfm-doc-0.4.2-r1.apk
2024-10-25 22:50
6.1K
shfm-0.4.2-r1.apk
2024-10-25 22:50
4.0K
shellinabox-openrc-2.21-r3.apk
2024-10-25 22:50
3.5K
shellinabox-doc-2.21-r3.apk
2024-10-25 22:50
19K
shellinabox-2.21-r3.apk
2024-10-25 22:50
118K
shc-4.0.3-r2.apk
2024-10-25 22:50
20K
shadowsocks-libev-doc-3.3.5-r4.apk
2024-10-25 22:50
28K
shadowsocks-libev-dev-3.3.5-r4.apk
2024-10-25 22:50
3.4K
shadowsocks-libev-3.3.5-r4.apk
2024-10-25 22:50
225K
sgt-puzzles-0_git20230310-r2.apk
2024-10-25 22:50
3.0M
sflowtool-doc-6.02-r0.apk
2024-10-25 22:50
9.3K
sflowtool-6.02-r0.apk
2024-10-25 22:50
43K
setroot-doc-2.0.2-r1.apk
2024-10-25 22:50
4.4K
setroot-2.0.2-r1.apk
2024-10-25 22:50
12K
serialdv-libs-1.1.4-r0.apk
2024-10-25 22:50
67K
serialdv-dev-1.1.4-r0.apk
2024-10-25 22:50
5.4K
serialdv-1.1.4-r0.apk
2024-10-25 22:50
6.7K
sentrypeer-doc-3.0.2-r0.apk
2024-10-25 22:50
3.3K
sentrypeer-3.0.2-r0.apk
2024-10-25 22:50
24K
sentinel-proxy-openrc-2.1.0-r0.apk
2024-10-25 22:50
2.3K
sentinel-proxy-dev-2.1.0-r0.apk
2024-10-25 22:50
5.0K
sentinel-proxy-2.1.0-r0.apk
2024-10-25 22:50
43K
sentinel-minipot-openrc-2.3.0-r1.apk
2024-10-25 22:50
2.6K
sentinel-minipot-2.3.0-r1.apk
2024-10-25 22:50
43K
seed7-vim-05.20240322-r0.apk
2024-10-25 22:50
4.0K
seed7-nano-05.20240322-r0.apk
2024-10-25 22:50
2.5K
seed7-doc-05.20240322-r0.apk
2024-10-25 22:50
1.6M
seed7-05.20240322-r0.apk
2024-10-25 22:50
11M
sedutil-doc-1.15.1-r1.apk
2024-10-25 22:50
3.0K
sedutil-1.15.1-r1.apk
2024-10-25 22:50
203K
secsipidx-libs-1.3.2-r7.apk
2024-10-25 22:50
2.1M
secsipidx-dev-1.3.2-r7.apk
2024-10-25 22:50
5.1M
secsipidx-1.3.2-r7.apk
2024-10-25 22:50
2.4M
sdparm-doc-1.12-r1.apk
2024-10-25 22:50
19K
sdparm-1.12-r1.apk
2024-10-25 22:50
146K
sct-2018.12.18-r1.apk
2024-10-25 22:50
4.0K
scrypt-doc-1.3.2-r0.apk
2024-10-25 22:50
4.3K
scrypt-1.3.2-r0.apk
2024-10-25 22:50
26K
screenkey-pyc-1.5-r6.apk
2024-10-25 22:50
73K
screenkey-doc-1.5-r6.apk
2024-10-25 22:50
11K
screenkey-1.5-r6.apk
2024-10-25 22:50
77K
scooper-doc-1.3-r1.apk
2024-10-25 22:50
2.6K
scooper-1.3-r1.apk
2024-10-25 22:50
485K
schismtracker-doc-20231029-r0.apk
2024-10-25 22:50
6.2K
schismtracker-20231029-r0.apk
2024-10-25 22:50
395K
scap-workbench-doc-1.2.1-r3.apk
2024-10-25 22:50
1.6M
scap-workbench-1.2.1-r3.apk
2024-10-25 22:50
230K
scalingo-1.30.0-r5.apk
2024-10-25 22:50
5.0M
sc-im-doc-0.8.4-r0.apk
2024-10-25 22:50
4.7K
sc-im-0.8.4-r0.apk
2024-10-25 22:50
168K
sc-controller-pyc-0.4.8.13-r1.apk
2024-10-25 22:50
872K
sc-controller-0.4.8.13-r1.apk
2024-10-25 22:50
1.9M
sblim-wbemcli-doc-1.6.3-r1.apk
2024-10-25 22:50
4.5K
sblim-wbemcli-1.6.3-r1.apk
2024-10-25 22:50
100K
sblim-sfcc-doc-2.2.8-r3.apk
2024-10-25 22:50
35K
sblim-sfcc-dev-2.2.8-r3.apk
2024-10-25 22:50
22K
sblim-sfcc-2.2.8-r3.apk
2024-10-25 22:50
55K
sblg-doc-0.5.11-r0.apk
2024-10-25 22:50
1.3M
sblg-0.5.11-r0.apk
2024-10-25 22:50
39K
sbase-doc-0_git20210730-r3.apk
2024-10-25 22:50
58K
sbase-0_git20210730-r3.apk
2024-10-25 22:50
122K
sauerbraten-2020.12.29-r3.apk
2024-10-25 22:50
934M
satellite-openrc-1.0.0-r23.apk
2024-10-25 22:49
1.9K
satellite-doc-1.0.0-r23.apk
2024-10-25 22:49
3.0K
satellite-1.0.0-r23.apk
2024-10-25 22:49
1.9M
sane-airscan-doc-0.99.29-r0.apk
2024-10-25 22:49
5.7K
sane-airscan-0.99.29-r0.apk
2024-10-25 22:49
200K
sandbar-0.1-r0.apk
2024-10-25 22:49
16K
sacc-doc-1.07-r0.apk
2024-10-25 22:49
2.8K
sacc-1.07-r0.apk
2024-10-25 22:49
16K
saait-doc-0.8-r0.apk
2024-10-25 22:49
13K
saait-0.8-r0.apk
2024-10-25 22:49
7.1K
s5cmd-2.2.2-r5.apk
2024-10-25 22:49
4.6M
s-postgray-doc-0.8.3-r0.apk
2024-10-25 22:49
9.6K
s-postgray-0.8.3-r0.apk
2024-10-25 22:49
47K
s-dkim-sign-doc-0.6.2-r0.apk
2024-10-25 22:49
8.5K
s-dkim-sign-0.6.2-r0.apk
2024-10-25 22:49
58K
ry-zsh-completion-0.5.2-r1.apk
2024-10-25 22:49
2.2K
ry-bash-completion-0.5.2-r1.apk
2024-10-25 22:49
1.9K
ry-0.5.2-r1.apk
2024-10-25 22:49
4.6K
rvlprog-0.91-r2.apk
2024-10-25 22:49
27K
ruuvi-prometheus-openrc-0.1.7-r5.apk
2024-10-25 22:49
1.7K
ruuvi-prometheus-0.1.7-r5.apk
2024-10-25 22:49
3.3M
rustscan-2.3.0-r0.apk
2024-10-25 22:49
1.3M
ruff-lsp-pyc-0.0.53-r0.apk
2024-10-25 22:49
35K
ruff-lsp-0.0.53-r0.apk
2024-10-25 22:49
21K
ruby-yard-doc-0.9.37-r0.apk
2024-10-25 22:49
48K
ruby-yard-0.9.37-r0.apk
2024-10-25 22:49
596K
ruby-simplecov_json_formatter-doc-0.1.4-r0.apk
2024-10-25 22:49
2.2K
ruby-simplecov_json_formatter-0.1.4-r0.apk
2024-10-25 22:49
3.5K
ruby-simplecov-html-doc-0.13.1-r0.apk
2024-10-25 22:49
2.2K
ruby-simplecov-html-0.13.1-r0.apk
2024-10-25 22:49
4.4K
ruby-simplecov-doc-0.22.0-r0.apk
2024-10-25 22:49
4.1K
ruby-simplecov-cobertura-2.1.0-r0.apk
2024-10-25 22:49
2.3K
ruby-simplecov-0.22.0-r0.apk
2024-10-25 22:49
31K
ruby-rainbow-3.1.1-r0.apk
2024-10-25 22:49
8.2K
ruby-notify-doc-0.5.2-r0.apk
2024-10-25 22:49
2.2K
ruby-notify-0.5.2-r0.apk
2024-10-25 22:49
6.1K
ruby-docile-doc-1.4.1-r0.apk
2024-10-25 22:49
2.2K
ruby-docile-1.4.1-r0.apk
2024-10-25 22:49
6.0K
ruby-base64-0.2.0-r0.apk
2024-10-25 22:49
5.2K
rtw89-src-7_p20230725-r0.apk
2024-10-25 22:49
759K
rtptools-doc-1.22-r2.apk
2024-10-25 22:49
13K
rtptools-1.22-r2.apk
2024-10-25 22:49
33K
rtmidi-dev-6.0.0-r0.apk
2024-10-25 22:49
14K
rtmidi-6.0.0-r0.apk
2024-10-25 22:49
30K
rtl88x2bu-src-5.13.1_git20230711-r0.apk
2024-10-25 22:49
4.0M
rtl-power-fftw-doc-20200601-r4.apk
2024-10-25 22:49
8.2K
rtl-power-fftw-20200601-r4.apk
2024-10-25 22:49
60K
rsstail-doc-2.1-r1.apk
2024-10-25 22:49
2.8K
rsstail-2.1-r1.apk
2024-10-25 22:49
7.5K
rpi-imager-doc-1.9.0-r0.apk
2024-10-25 22:49
3.1K
rpi-imager-1.9.0-r0.apk
2024-10-25 22:49
698K
rpg-cli-1.2.0-r0.apk
2024-10-25 22:49
594K
roswell-doc-24.10.115-r0.apk
2024-10-25 22:49
18K
roswell-24.10.115-r0.apk
2024-10-25 22:49
110K
rosdep-pyc-0.19.0-r6.apk
2024-10-25 22:49
119K
rosdep-0.19.0-r6.apk
2024-10-25 22:49
66K
rofi-pass-doc-2.0.2-r2.apk
2024-10-25 22:49
5.2K
rofi-pass-2.0.2-r2.apk
2024-10-25 22:49
9.1K
rofi-json-menu-0.2.0-r1.apk
2024-10-25 22:49
5.4K
rofi-blocks-0.1.0-r0.apk
2024-10-25 22:49
12K
rmlint-shredder-pyc-2.10.2-r2.apk
2024-10-25 22:49
124K
rmlint-shredder-2.10.2-r2.apk
2024-10-25 22:49
96K
rmlint-lang-2.10.2-r2.apk
2024-10-25 22:49
19K
rmlint-doc-2.10.2-r2.apk
2024-10-25 22:49
18K
rmlint-2.10.2-r2.apk
2024-10-25 22:49
142K
rke-doc-1.4.3-r10.apk
2024-10-25 22:49
3.0K
rke-1.4.3-r10.apk
2024-10-25 22:49
19M
rkdeveloptool-doc-1.1.0-r1.apk
2024-10-25 22:49
3.0K
rkdeveloptool-1.1.0-r1.apk
2024-10-25 22:49
57K
rizin-libs-0.6.3-r1.apk
2024-10-25 22:49
4.4M
rizin-doc-0.6.3-r1.apk
2024-10-25 22:49
18K
rizin-dev-0.6.3-r1.apk
2024-10-25 22:49
306K
rizin-cutter-dev-2.3.2-r2.apk
2024-10-25 22:49
108K
rizin-cutter-2.3.2-r2.apk
2024-10-25 22:49
2.1M
rizin-0.6.3-r1.apk
2024-10-25 22:49
2.7M
river-shifttags-doc-0.2.1-r0.apk
2024-10-25 22:49
2.3K
river-shifttags-0.2.1-r0.apk
2024-10-25 22:49
6.5K
rinetd-openrc-0.73-r0.apk
2024-10-25 22:49
1.7K
rinetd-doc-0.73-r0.apk
2024-10-25 22:49
16K
rinetd-0.73-r0.apk
2024-10-25 22:49
15K
rhasspy-nlu-pyc-0.4.0-r3.apk
2024-10-25 22:49
72K
rhasspy-nlu-0.4.0-r3.apk
2024-10-25 22:49
44K
rgxg-doc-0.1.2-r2.apk
2024-10-25 22:49
12K
rgxg-dev-0.1.2-r2.apk
2024-10-25 22:49
3.5K
rgxg-0.1.2-r2.apk
2024-10-25 22:49
14K
restic.mk-0.4.0-r0.apk
2024-10-25 22:49
2.9K
restart-services-doc-0.17.0-r0.apk
2024-10-25 22:49
6.1K
restart-services-0.17.0-r0.apk
2024-10-25 22:49
12K
responder-3.1.5.0-r0.apk
2024-10-25 22:49
750K
repowerd-openrc-2023.07-r2.apk
2024-10-25 22:49
1.7K
repowerd-2023.07-r2.apk
2024-10-25 22:49
883K
remind-caldav-pyc-0.8.0-r4.apk
2024-10-25 22:49
6.1K
remind-caldav-0.8.0-r4.apk
2024-10-25 22:49
18K
remake-make-1.5-r1.apk
2024-10-25 22:49
1.5K
remake-doc-1.5-r1.apk
2024-10-25 22:49
202K
remake-dev-1.5-r1.apk
2024-10-25 22:49
2.9K
remake-1.5-r1.apk
2024-10-25 22:49
140K
reg-0.16.1-r23.apk
2024-10-25 22:49
4.2M
recoll-doc-1.37.5-r1.apk
2024-10-25 22:49
21K
recoll-dev-1.37.5-r1.apk
2024-10-25 22:49
53K
recoll-1.37.5-r1.apk
2024-10-25 22:49
2.7M
reaver-wps-fork-t6x-1.6.6-r1.apk
2024-10-25 22:49
439K
readosm-dev-1.1.0-r2.apk
2024-10-25 22:49
31K
readosm-1.1.0-r2.apk
2024-10-25 22:49
15K
reaction-tools-1.4.1-r1.apk
2024-10-25 22:49
48K
reaction-openrc-1.4.1-r1.apk
2024-10-25 22:49
1.8K
reaction-1.4.1-r1.apk
2024-10-25 22:49
2.2M
rdrview-doc-0.1.2-r0.apk
2024-10-25 22:49
3.7K
rdrview-0.1.2-r0.apk
2024-10-25 22:49
29K
rcon-cli-1.6.2-r6.apk
2024-10-25 22:49
2.4M
razercfg-pyc-0.42-r7.apk
2024-10-25 22:49
36K
razercfg-openrc-0.42-r7.apk
2024-10-25 22:49
1.7K
razercfg-gui-0.42-r7.apk
2024-10-25 22:49
19K
razercfg-0.42-r7.apk
2024-10-25 22:49
80K
rauc-service-1.10.1-r0.apk
2024-10-25 22:49
3.7K
rauc-doc-1.10.1-r0.apk
2024-10-25 22:49
4.1K
rauc-1.10.1-r0.apk
2024-10-25 22:49
143K
raspberrypi-usbboot-20210701-r3.apk
2024-10-25 22:49
1.5M
rankwidth-static-0.9-r3.apk
2024-10-25 22:49
6.3K
rankwidth-libs-0.9-r3.apk
2024-10-25 22:49
5.0K
rankwidth-doc-0.9-r3.apk
2024-10-25 22:49
3.0K
rankwidth-dev-0.9-r3.apk
2024-10-25 22:49
2.9K
rankwidth-0.9-r3.apk
2024-10-25 22:49
5.4K
quodlibet-zsh-completion-4.6.0-r1.apk
2024-10-25 22:49
2.7K
quodlibet-pyc-4.6.0-r1.apk
2024-10-25 22:49
1.8M
quodlibet-lang-4.6.0-r1.apk
2024-10-25 22:49
1.2M
quodlibet-doc-4.6.0-r1.apk
2024-10-25 22:49
8.7K
quodlibet-bash-completion-4.6.0-r1.apk
2024-10-25 22:49
4.7K
quodlibet-4.6.0-r1.apk
2024-10-25 22:49
1.0M
queercat-1.0.0-r0.apk
2024-10-25 22:49
7.4K
qucs-s-lang-1.1.0-r1.apk
2024-10-25 22:49
865K
qucs-s-doc-1.1.0-r1.apk
2024-10-25 22:49
2.3K
qucs-s-1.1.0-r1.apk
2024-10-25 22:49
3.4M
quakespasm-0.96.3-r0.apk
2024-10-25 22:49
502K
qtpass-doc-1.4.0-r0.apk
2024-10-25 22:49
2.1K
qtpass-1.4.0-r0.apk
2024-10-25 22:49
418K
qtox-1.17.6-r6.apk
2024-10-25 22:49
5.0M
qtile-pyc-0.23.0-r2.apk
2024-10-25 22:49
724K
qtile-0.23.0-r2.apk
2024-10-25 22:49
382K
qt5ct-dev-1.8-r0.apk
2024-10-25 22:49
1.5K
qt5ct-1.8-r0.apk
2024-10-25 22:49
226K
qt-wayland-shell-helpers-dev-0.1.1-r3.apk
2024-10-25 22:49
3.9K
qt-wayland-shell-helpers-0.1.1-r3.apk
2024-10-25 22:49
14K
qt-jdenticon-doc-0.3.1-r0.apk
2024-10-25 22:49
2.2K
qt-jdenticon-0.3.1-r0.apk
2024-10-25 22:49
27K
qsynth-doc-1.0.2-r0.apk
2024-10-25 22:49
4.4K
qsynth-1.0.2-r0.apk
2024-10-25 22:49
425K
qsstv-9.5.8-r2.apk
2024-10-25 22:49
1.0M
qspectrumanalyzer-pyc-2.2.0-r5.apk
2024-10-25 22:49
62K
qspectrumanalyzer-2.2.0-r5.apk
2024-10-25 22:49
54K
qqc2-suru-style-0.20230206-r1.apk
2024-10-25 22:49
174K
qperf-doc-0.4.11-r1.apk
2024-10-25 22:49
5.5K
qperf-0.4.11-r1.apk
2024-10-25 22:49
37K
qpdfview-doc-0.5-r1.apk
2024-10-25 22:49
4.3K
qpdfview-0.5-r1.apk
2024-10-25 22:49
1.0M
qownnotes-lang-23.6.6-r0.apk
2024-10-25 22:49
4.4M
qownnotes-23.6.6-r0.apk
2024-10-25 22:49
2.3M
qoiconv-0.0.0_git20230312-r0.apk
2024-10-25 22:49
30K
qoi-dev-0.0.0_git20230312-r0.apk
2024-10-25 22:49
6.8K
qoi-0.0.0_git20230312-r0.apk
2024-10-25 22:49
1.4K
qml-box2d-0_git20180406-r0.apk
2024-10-25 22:49
143K
qflipper-gui-1.3.3-r1.apk
2024-10-25 22:49
1.1M
qflipper-1.3.3-r1.apk
2024-10-25 22:49
498K
qdjango-dev-0.6.2-r1.apk
2024-10-25 22:49
14K
qdjango-0.6.2-r1.apk
2024-10-25 22:49
99K
pyradio-pyc-0.9.3.11-r0.apk
2024-10-25 22:49
810K
pyradio-doc-0.9.3.11-r0.apk
2024-10-25 22:49
113K
pyradio-0.9.3.11-r0.apk
2024-10-25 22:49
871K
pypykatz-pyc-0.6.10-r0.apk
2024-10-25 22:49
706K
pypykatz-0.6.10-r0.apk
2024-10-25 22:49
318K
pympress-pyc-1.8.5-r1.apk
2024-10-25 22:49
182K
pympress-lang-1.8.5-r1.apk
2024-10-25 22:49
56K
pympress-doc-1.8.5-r1.apk
2024-10-25 22:49
349K
pympress-1.8.5-r1.apk
2024-10-25 22:49
181K
pyinfra-pyc-3.1.1-r0.apk
2024-10-25 22:49
330K
pyinfra-3.1.1-r0.apk
2024-10-25 22:49
176K
py3-zope-schema-pyc-7.0.1-r3.apk
2024-10-25 22:49
61K
py3-zope-schema-7.0.1-r3.apk
2024-10-25 22:49
45K
py3-zope-i18nmessageid-pyc-6.1.0-r2.apk
2024-10-25 22:49
7.9K
py3-zope-i18nmessageid-6.1.0-r2.apk
2024-10-25 22:49
16K
py3-zope-configuration-pyc-5.0.1-r2.apk
2024-10-25 22:49
48K
py3-zope-configuration-5.0.1-r2.apk
2024-10-25 22:49
39K
py3-zipfile2-pyc-0.0.12-r0.apk
2024-10-25 22:49
29K
py3-zipfile2-0.0.12-r0.apk
2024-10-25 22:49
45K
py3-youtube-search-pyc-1.6.6-r4.apk
2024-10-25 22:49
96K
py3-youtube-search-1.6.6-r4.apk
2024-10-25 22:49
79K
py3-yosys-0.42-r0.apk
2024-10-25 22:49
1.8K
py3-yara-4.5.1-r0.apk
2024-10-25 22:49
19K
py3-yapsy-pyc-1.12.2-r7.apk
2024-10-25 22:49
47K
py3-yapsy-1.12.2-r7.apk
2024-10-25 22:49
32K
py3-xlwt-pyc-1.3.0-r9.apk
2024-10-25 22:49
165K
py3-xlwt-1.3.0-r9.apk
2024-10-25 22:49
95K
py3-wtf-peewee-pyc-3.0.6-r0.apk
2024-10-25 22:49
25K
py3-wtf-peewee-3.0.6-r0.apk
2024-10-25 22:49
13K
py3-wstools-pyc-0.4.10-r7.apk
2024-10-25 22:49
110K
py3-wstools-0.4.10-r7.apk
2024-10-25 22:49
53K
py3-wsgiprox-pyc-1.5.2-r1.apk
2024-10-25 22:49
28K
py3-wsgiprox-1.5.2-r1.apk
2024-10-25 22:49
17K
py3-winacl-pyc-0.1.9-r0.apk
2024-10-25 22:49
132K
py3-winacl-0.1.9-r0.apk
2024-10-25 22:49
84K
py3-wifi-pyc-0.3.8-r7.apk
2024-10-25 22:49
14K
py3-wifi-0.3.8-r7.apk
2024-10-25 22:49
13K
py3-wgconfig-pyc-1.0.3-r0.apk
2024-10-25 22:49
12K
py3-wgconfig-1.0.3-r0.apk
2024-10-25 22:49
23K
py3-wg-netns-pyc-2.3.1-r1.apk
2024-10-25 22:49
13K
py3-wg-netns-2.3.1-r1.apk
2024-10-25 22:49
7.5K
py3-webrtcvad-pyc-2.0.10-r1.apk
2024-10-25 22:49
2.8K
py3-webrtcvad-2.0.10-r1.apk
2024-10-25 22:49
25K
py3-wbdata-pyc-1.0.0-r1.apk
2024-10-25 22:49
20K
py3-wbdata-1.0.0-r1.apk
2024-10-25 22:49
18K
py3-ward-pyc-0.67.0_beta0-r2.apk
2024-10-25 22:49
79K
py3-ward-0.67.0_beta0-r2.apk
2024-10-25 22:49
41K
py3-visitor-pyc-0.1.3-r7.apk
2024-10-25 22:49
2.6K
py3-visitor-0.1.3-r7.apk
2024-10-25 22:49
4.6K
py3-virtualenvwrapper-pyc-6.1.0-r1.apk
2024-10-25 22:49
12K
py3-virtualenvwrapper-6.1.0-r1.apk
2024-10-25 22:49
22K
py3-vdf-pyc-3.4-r1.apk
2024-10-25 22:49
17K
py3-vdf-3.4-r1.apk
2024-10-25 22:49
11K
py3-vatnumber-pyc-1.2-r9.apk
2024-10-25 22:49
8.6K
py3-vatnumber-1.2-r9.apk
2024-10-25 22:49
19K
py3-utc-pyc-0.0.3-r9.apk
2024-10-25 22:49
2.8K
py3-utc-0.0.3-r9.apk
2024-10-25 22:49
3.5K
py3-us-pyc-3.2.0-r0.apk
2024-10-25 22:49
15K
py3-us-3.2.0-r0.apk
2024-10-25 22:49
14K
py3-urlobject-pyc-2.4.3-r9.apk
2024-10-25 22:49
25K
py3-urlobject-2.4.3-r9.apk
2024-10-25 22:49
15K
py3-uptime-pyc-3.0.1-r9.apk
2024-10-25 22:49
8.7K
py3-uptime-3.0.1-r9.apk
2024-10-25 22:49
9.7K
py3-unoconv-0.9.0-r2.apk
2024-10-25 22:49
26K
py3-unidns-pyc-0.0.1-r2.apk
2024-10-25 22:49
22K
py3-unidns-examples-0.0.1-r2.apk
2024-10-25 22:49
2.7K
py3-unidns-0.0.1-r2.apk
2024-10-25 22:49
14K
py3-unicrypto-pyc-0.0.10-r2.apk
2024-10-25 22:49
94K
py3-unicrypto-0.0.10-r2.apk
2024-10-25 22:49
61K
py3-unearth-pyc-0.17.2-r0.apk
2024-10-25 22:49
82K
py3-unearth-0.17.2-r0.apk
2024-10-25 22:49
41K
py3-uc-micro-py-1.0.2-r1.apk
2024-10-25 22:49
9.0K
py3-uacme-desec-pyc-1.2.1-r0.apk
2024-10-25 22:49
6.8K
py3-uacme-desec-doc-1.2.1-r0.apk
2024-10-25 22:49
2.2K
py3-uacme-desec-1.2.1-r0.apk
2024-10-25 22:49
5.7K
py3-u-msgpack-pyc-2.8.0-r2.apk
2024-10-25 22:49
16K
py3-u-msgpack-2.8.0-r2.apk
2024-10-25 22:49
11K
py3-typing_inspect-pyc-0.9.0-r2.apk
2024-10-25 22:49
14K
py3-typing_inspect-0.9.0-r2.apk
2024-10-25 22:49
9.9K
py3-twiggy-pyc-0.5.1-r4.apk
2024-10-25 22:49
39K
py3-twiggy-0.5.1-r4.apk
2024-10-25 22:49
24K
py3-truststore-pyc-0.10.0-r0.apk
2024-10-25 22:49
25K
py3-truststore-0.10.0-r0.apk
2024-10-25 22:49
17K
py3-trivup-pyc-0.12.2-r2.apk
2024-10-25 22:49
55K
py3-trivup-0.12.2-r2.apk
2024-10-25 22:49
34K
py3-trimesh-pyc-3.22.1-r2.apk
2024-10-25 22:49
731K
py3-trimesh-3.22.1-r2.apk
2024-10-25 22:49
629K
py3-translationstring-pyc-1.4-r4.apk
2024-10-25 22:49
8.8K
py3-translationstring-1.4-r4.apk
2024-10-25 22:49
9.2K
py3-transitions-pyc-0.9.2-r0.apk
2024-10-25 22:49
129K
py3-transitions-0.9.2-r0.apk
2024-10-25 22:49
98K
py3-tpm2-pytss-pyc-2.3.0-r1.apk
2024-10-25 22:49
236K
py3-tpm2-pytss-2.3.0-r1.apk
2024-10-25 22:49
296K
py3-tlslite-ng-pyc-0.7.6-r8.apk
2024-10-25 22:49
275K
py3-tlslite-ng-0.7.6-r8.apk
2024-10-25 22:49
179K
py3-tls_parser-pyc-2.0.1-r1.apk
2024-10-25 22:49
17K
py3-tls_parser-2.0.1-r1.apk
2024-10-25 22:49
11K
py3-timeago-pyc-1.0.16-r0.apk
2024-10-25 22:49
28K
py3-timeago-doc-1.0.16-r0.apk
2024-10-25 22:49
2.8K
py3-timeago-1.0.16-r0.apk
2024-10-25 22:49
24K
py3-tidalapi-pyc-0.7.4-r1.apk
2024-10-25 22:49
62K
py3-tidalapi-0.7.4-r1.apk
2024-10-25 22:49
36K
py3-ticket-auth-pyc-0.1.4-r9.apk
2024-10-25 22:49
6.4K
py3-ticket-auth-0.1.4-r9.apk
2024-10-25 22:49
5.9K
py3-thefuzz-pyc-0.22.1-r1.apk
2024-10-25 22:49
8.9K
py3-thefuzz-0.22.1-r1.apk
2024-10-25 22:49
10K
py3-tg-pyc-0.19.0-r5.apk
2024-10-25 22:49
80K
py3-tg-0.19.0-r5.apk
2024-10-25 22:49
73K
py3-testresources-pyc-2.0.1-r6.apk
2024-10-25 22:49
16K
py3-testresources-2.0.1-r6.apk
2024-10-25 22:49
17K
py3-teletype-pyc-1.3.4-r3.apk
2024-10-25 22:49
20K
py3-teletype-1.3.4-r3.apk
2024-10-25 22:49
15K
py3-telemetrix-pyc-1.20-r3.apk
2024-10-25 22:49
31K
py3-telemetrix-1.20-r3.apk
2024-10-25 22:49
21K
py3-telegram-text-pyc-0.2.0-r1.apk
2024-10-25 22:49
13K
py3-telegram-text-0.2.0-r1.apk
2024-10-25 22:49
9.2K
py3-telegram-pyc-0.18.0-r3.apk
2024-10-25 22:49
21K
py3-telegram-0.18.0-r3.apk
2024-10-25 22:49
14K
py3-tasklib-pyc-2.5.1-r2.apk
2024-10-25 22:49
52K
py3-tasklib-2.5.1-r2.apk
2024-10-25 22:49
23K
py3-tailer-pyc-0.4.1-r7.apk
2024-10-25 22:49
6.6K
py3-tailer-0.4.1-r7.apk
2024-10-25 22:49
6.9K
py3-synapse-auto-accept-invite-pyc-1.2.0-r0.apk
2024-10-25 22:49
5.6K
py3-synapse-auto-accept-invite-1.2.0-r0.apk
2024-10-25 22:49
9.8K
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk
2024-10-25 22:49
2.1K
py3-swagger-ui-bundle-1.1.0-r1.apk
2024-10-25 22:49
2.5M
py3-svgpath-pyc-6.3-r3.apk
2024-10-25 22:49
22K
py3-svgpath-6.3-r3.apk
2024-10-25 22:49
17K
py3-stringcase-pyc-1.2.0-r8.apk
2024-10-25 22:49
3.9K
py3-stringcase-1.2.0-r8.apk
2024-10-25 22:49
4.8K
py3-sstash-pyc-0.17-r9.apk
2024-10-25 22:49
10K
py3-sstash-0.17-r9.apk
2024-10-25 22:49
7.7K
py3-spotipy-pyc-2.24.0-r1.apk
2024-10-25 22:49
50K
py3-spotipy-2.24.0-r1.apk
2024-10-25 22:49
30K
py3-spnego-pyc-0.10.2-r1.apk
2024-10-25 22:49
219K
py3-spnego-0.10.2-r1.apk
2024-10-25 22:49
119K
py3-spinners-pyc-0.0.24-r5.apk
2024-10-25 22:49
6.2K
py3-spinners-0.0.24-r5.apk
2024-10-25 22:49
6.1K
py3-spin-pyc-0.8-r0.apk
2024-10-25 22:49
24K
py3-spin-0.8-r0.apk
2024-10-25 22:49
18K
py3-spidev-3.6-r1.apk
2024-10-25 22:49
14K
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk
2024-10-25 22:49
5.6K
py3-sphinxcontrib-textstyle-0.2.3-r8.apk
2024-10-25 22:49
6.1K
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk
2024-10-25 22:49
4.9K
py3-sphinxcontrib-sqltable-2.0.0-r8.apk
2024-10-25 22:49
7.3K
py3-sphinxcontrib-spelling-pyc-8.0.0-r3.apk
2024-10-25 22:49
19K
py3-sphinxcontrib-spelling-8.0.0-r3.apk
2024-10-25 22:49
15K
py3-sphinxcontrib-slide-pyc-1.0.0-r3.apk
2024-10-25 22:49
5.5K
py3-sphinxcontrib-slide-1.0.0-r3.apk
2024-10-25 22:49
5.0K
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk
2024-10-25 22:49
9.3K
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk
2024-10-25 22:49
7.6K
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk
2024-10-25 22:49
20K
py3-sphinxcontrib-restbuilder-0.3-r6.apk
2024-10-25 22:49
11K
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk
2024-10-25 22:49
24K
py3-sphinxcontrib-programoutput-0.17-r5.apk
2024-10-25 22:49
16K
py3-sphinxcontrib-phpdomain-pyc-0.12.0-r0.apk
2024-10-25 22:49
17K
py3-sphinxcontrib-phpdomain-0.12.0-r0.apk
2024-10-25 22:49
11K
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk
2024-10-25 22:49
11K
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk
2024-10-25 22:49
8.7K
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk
2024-10-25 22:49
3.1K
py3-sphinxcontrib-manpage-0.6-r8.apk
2024-10-25 22:49
4.1K
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk
2024-10-25 22:49
11K
py3-sphinxcontrib-lassodomain-0.4-r8.apk
2024-10-25 22:49
7.9K
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk
2024-10-25 22:49
12K
py3-sphinxcontrib-issuetracker-0.11-r7.apk
2024-10-25 22:49
11K
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk
2024-10-25 22:49
15K
py3-sphinxcontrib-inheritance-0.9.0-r9.apk
2024-10-25 22:49
11K
py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk
2024-10-25 22:49
44K
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk
2024-10-25 22:49
21K
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk
2024-10-25 22:49
34K
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk
2024-10-25 22:49
4.3K
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk
2024-10-25 22:49
18K
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk
2024-10-25 22:49
15K
py3-sphinxcontrib-htsql-0.1.5-r8.apk
2024-10-25 22:49
11K
py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk
2024-10-25 22:49
7.6K
py3-sphinxcontrib-gravatar-0.1.2-r8.apk
2024-10-25 22:49
7.9K
py3-sphinxcontrib-git-pyc-11.0.0-r7.apk
2024-10-25 22:49
6.6K
py3-sphinxcontrib-git-11.0.0-r7.apk
2024-10-25 22:49
17K
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk
2024-10-25 22:49
3.3K
py3-sphinxcontrib-gist-0.1.0-r9.apk
2024-10-25 22:49
3.9K
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk
2024-10-25 22:49
3.4K
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk
2024-10-25 22:49
9.1K
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk
2024-10-25 22:49
33K
py3-sphinxcontrib-cartouche-1.1.2-r7.apk
2024-10-25 22:49
18K
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk
2024-10-25 22:49
4.2K
py3-sphinxcontrib-cacoo-2.0.0-r7.apk
2024-10-25 22:49
5.7K
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk
2024-10-25 22:49
9.1K
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk
2024-10-25 22:49
7.5K
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk
2024-10-25 22:49
4.1K
py3-sphinxcontrib-bitbucket-1.0-r8.apk
2024-10-25 22:49
5.7K
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk
2024-10-25 22:49
12K
py3-sphinxcontrib-adadomain-0.2-r9.apk
2024-10-25 22:49
9.0K
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk
2024-10-25 22:49
9.3K
py3-sphinxcontrib-actdiag-3.0.0-r4.apk
2024-10-25 22:49
7.6K
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk
2024-10-25 22:49
2.2K
py3-sphinx-theme-readable-1.3.0-r9.apk
2024-10-25 22:49
8.8K
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk
2024-10-25 22:49
5.5K
py3-sphinx-theme-guzzle-0.7.11-r7.apk
2024-10-25 22:49
2.4M
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk
2024-10-25 22:49
2.5K
py3-sphinx-theme-epfl-1.1.1-r9.apk
2024-10-25 22:49
30K
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk
2024-10-25 22:49
43K
py3-sphinx-theme-cloud-1.10.0-r2.apk
2024-10-25 22:49
81K
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk
2024-10-25 22:49
1.8K
py3-sphinx-theme-bw-0.1.8-r7.apk
2024-10-25 22:49
65K
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk
2024-10-25 22:49
2.3K
py3-sphinx-theme-bootstrap-0.8.1-r4.apk
2024-10-25 22:49
1.2M
py3-sphinx-theme-better-pyc-0.1.5-r7.apk
2024-10-25 22:49
2.0K
py3-sphinx-theme-better-0.1.5-r7.apk
2024-10-25 22:49
11K
py3-sphinx-argparse-pyc-0.5.2-r0.apk
2024-10-25 22:49
22K
py3-sphinx-argparse-0.5.2-r0.apk
2024-10-25 22:49
14K
py3-spake2-pyc-0.9-r0.apk
2024-10-25 22:49
44K
py3-spake2-0.9-r0.apk
2024-10-25 22:49
30K
py3-sortedcollections-pyc-2.1.0-r5.apk
2024-10-25 22:49
14K
py3-sortedcollections-2.1.0-r5.apk
2024-10-25 22:49
11K
py3-soapy_power-pyc-1.6.1-r5.apk
2024-10-25 22:49
27K
py3-soapy_power-1.6.1-r5.apk
2024-10-25 22:49
17K
py3-snapshottest-pyc-0.6.0-r5.apk
2024-10-25 22:49
26K
py3-snapshottest-0.6.0-r5.apk
2024-10-25 22:49
15K
py3-slixmpp-pyc-1.8.5-r2.apk
2024-10-25 22:49
728K
py3-slixmpp-doc-1.8.5-r2.apk
2024-10-25 22:49
5.8K
py3-slixmpp-1.8.5-r2.apk
2024-10-25 22:49
383K
py3-slidge-style-parser-pyc-0.1.8-r0.apk
2024-10-25 22:49
1.9K
py3-slidge-style-parser-0.1.8-r0.apk
2024-10-25 22:49
216K
py3-simplespectral-pyc-1.0.0-r5.apk
2024-10-25 22:49
8.1K
py3-simplespectral-1.0.0-r5.apk
2024-10-25 22:49
7.5K
py3-simplesoapy-pyc-1.5.1-r7.apk
2024-10-25 22:49
12K
py3-simplesoapy-1.5.1-r7.apk
2024-10-25 22:49
7.9K
py3-simplesat-pyc-0.8.2-r0.apk
2024-10-25 22:49
156K
py3-simplesat-0.8.2-r0.apk
2024-10-25 22:49
214K
py3-simplematch-pyc-1.4-r1.apk
2024-10-25 22:49
5.8K
py3-simplematch-1.4-r1.apk
2024-10-25 22:49
8.0K
py3-simber-pyc-0.2.6-r4.apk
2024-10-25 22:49
16K
py3-simber-0.2.6-r4.apk
2024-10-25 22:49
12K
py3-shodan-pyc-1.31.0-r1.apk
2024-10-25 22:49
79K
py3-shodan-doc-1.31.0-r1.apk
2024-10-25 22:49
7.2K
py3-shodan-1.31.0-r1.apk
2024-10-25 22:49
44K
py3-setuptools-lint-pyc-0.6.0-r9.apk
2024-10-25 22:49
6.0K
py3-setuptools-lint-0.6.0-r9.apk
2024-10-25 22:49
5.4K
py3-seqdiag-pyc-3.0.0-r5.apk
2024-10-25 22:49
42K
py3-seqdiag-3.0.0-r5.apk
2024-10-25 22:49
2.5M
py3-scs-pyc-3.2.3-r4.apk
2024-10-25 22:49
4.9K
py3-scs-3.2.3-r4.apk
2024-10-25 22:49
111K
py3-scrapy-pyc-2.11.1-r1.apk
2024-10-25 22:49
482K
py3-scrapy-2.11.1-r1.apk
2024-10-25 22:49
240K
py3-scour-pyc-0.38.2-r1.apk
2024-10-25 22:49
73K
py3-scour-0.38.2-r1.apk
2024-10-25 22:49
56K
py3-schema-pyc-0.7.5-r4.apk
2024-10-25 22:49
18K
py3-schema-0.7.5-r4.apk
2024-10-25 22:49
18K
py3-rst2ansi-pyc-0.1.5-r0.apk
2024-10-25 22:49
24K
py3-rst2ansi-doc-0.1.5-r0.apk
2024-10-25 22:49
2.2K
py3-rst2ansi-0.1.5-r0.apk
2024-10-25 22:49
12K
py3-rst.linker-pyc-2.6.0-r0.apk
2024-10-25 22:49
6.6K
py3-rst.linker-2.6.0-r0.apk
2024-10-25 22:49
6.1K
py3-rst-pyc-0.1-r9.apk
2024-10-25 22:49
6.1K
py3-rst-0.1-r9.apk
2024-10-25 22:49
5.6K
py3-rpio-pyc-0.10.1-r8.apk
2024-10-25 22:49
16K
py3-rpio-0.10.1-r8.apk
2024-10-25 22:49
37K
py3-rospkg-pyc-1.2.9-r5.apk
2024-10-25 22:49
54K
py3-rospkg-1.2.9-r5.apk
2024-10-25 22:49
29K
py3-rosdistro-pyc-0.9.0-r3.apk
2024-10-25 22:49
91K
py3-rosdistro-0.9.0-r3.apk
2024-10-25 22:49
47K
py3-riotctrl-pyc-0.5.0-r4.apk
2024-10-25 22:49
11K
py3-riotctrl-0.5.0-r4.apk
2024-10-25 22:49
13K
py3-rich-click-pyc-1.7.3-r1.apk
2024-10-25 22:49
40K
py3-rich-click-1.7.3-r1.apk
2024-10-25 22:49
31K
py3-rfc3987-pyc-1.3.8-r6.apk
2024-10-25 22:49
11K
py3-rfc3987-1.3.8-r6.apk
2024-10-25 22:49
21K
py3-rfc-bibtex-pyc-0.3.2-r7.apk
2024-10-25 22:49
12K
py3-rfc-bibtex-0.3.2-r7.apk
2024-10-25 22:49
13K
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk
2024-10-25 22:49
6.6K
py3-requests-wsgi-adapter-0.4.1-r1.apk
2024-10-25 22:49
5.5K
py3-remind-pyc-0.19.1-r0.apk
2024-10-25 22:49
23K
py3-remind-0.19.1-r0.apk
2024-10-25 22:49
25K
py3-redmine-pyc-2.5.0-r0.apk
2024-10-25 22:49
54K
py3-redmine-2.5.0-r0.apk
2024-10-25 22:49
37K
py3-recommonmark-pyc-0.7.1-r4.apk
2024-10-25 22:49
18K
py3-recommonmark-0.7.1-r4.apk
2024-10-25 22:49
12K
py3-radon-pyc-6.0.1-r2.apk
2024-10-25 22:49
50K
py3-radon-doc-6.0.1-r2.apk
2024-10-25 22:49
5.1K
py3-radon-6.0.1-r2.apk
2024-10-25 22:49
32K
py3-rabbit-pyc-1.1.0-r8.apk
2024-10-25 22:49
15K
py3-rabbit-1.1.0-r8.apk
2024-10-25 22:49
11K
py3-queuelib-pyc-1.7.0-r0.apk
2024-10-25 22:49
25K
py3-queuelib-1.7.0-r0.apk
2024-10-25 22:49
13K
py3-quebra-frases-pyc-0.3.7-r1.apk
2024-10-25 22:49
7.8K
py3-quebra-frases-0.3.7-r1.apk
2024-10-25 22:49
8.8K
py3-qt.py-pyc-1.3.10-r1.apk
2024-10-25 22:49
25K
py3-qt.py-1.3.10-r1.apk
2024-10-25 22:49
33K
py3-qpageview-pyc-0.6.2-r1.apk
2024-10-25 22:49
180K
py3-qpageview-doc-0.6.2-r1.apk
2024-10-25 22:49
56K
py3-qpageview-0.6.2-r1.apk
2024-10-25 22:49
98K
py3-qdldl-0.1.5-r4.apk
2024-10-25 22:49
99K
py3-qasync-0.19.0-r2.apk
2024-10-25 22:49
37K
py3-pyzor-pyc-1.0.0-r11.apk
2024-10-25 22:49
54K
py3-pyzor-1.0.0-r11.apk
2024-10-25 22:49
40K
py3-python-stdnum-pyc-1.20-r0.apk
2024-10-25 22:49
294K
py3-python-stdnum-1.20-r0.apk
2024-10-25 22:49
806K
py3-python-logstash-pyc-0.4.8-r4.apk
2024-10-25 22:49
8.4K
py3-python-logstash-doc-0.4.8-r4.apk
2024-10-25 22:49
2.3K
py3-python-logstash-0.4.8-r4.apk
2024-10-25 22:49
8.6K
py3-python-iptables-pyc-1.0.1-r1.apk
2024-10-25 22:49
68K
py3-python-iptables-1.0.1-r1.apk
2024-10-25 22:49
39K
py3-python-archive-pyc-0.2-r7.apk
2024-10-25 22:49
9.3K
py3-python-archive-0.2-r7.apk
2024-10-25 22:49
7.4K
py3-pytest-subprocess-pyc-1.5.2-r0.apk
2024-10-25 22:49
25K
py3-pytest-subprocess-1.5.2-r0.apk
2024-10-25 22:49
20K
py3-pytest-metadata-pyc-3.1.1-r0.apk
2024-10-25 22:49
7.9K
py3-pytest-metadata-3.1.1-r0.apk
2024-10-25 22:49
10K
py3-pytest-html-pyc-4.1.1-r1.apk
2024-10-25 22:49
22K
py3-pytest-html-4.1.1-r1.apk
2024-10-25 22:49
22K
py3-pytest-home-pyc-0.6.0-r0.apk
2024-10-25 22:49
2.8K
py3-pytest-home-0.6.0-r0.apk
2024-10-25 22:49
4.5K
py3-pytest-expect-pyc-1.1.0-r10.apk
2024-10-25 22:49
6.9K
py3-pytest-expect-1.1.0-r10.apk
2024-10-25 22:49
5.9K
py3-pyte-pyc-0.8.2-r2.apk
2024-10-25 22:49
39K
py3-pyte-0.8.2-r2.apk
2024-10-25 22:49
30K
py3-pytap2-pyc-2.3.0-r0.apk
2024-10-25 22:49
6.0K
py3-pytap2-doc-2.3.0-r0.apk
2024-10-25 22:49
2.8K
py3-pytap2-2.3.0-r0.apk
2024-10-25 22:49
7.0K
py3-pytaglib-pyc-1.5.0-r3.apk
2024-10-25 22:49
3.0K
py3-pytaglib-1.5.0-r3.apk
2024-10-25 22:49
36K
py3-pystache-pyc-0.6.5-r1.apk
2024-10-25 22:49
97K
py3-pystache-0.6.5-r1.apk
2024-10-25 22:49
68K
py3-pysrt-pyc-1.1.2-r4.apk
2024-10-25 22:49
23K
py3-pysrt-1.1.2-r4.apk
2024-10-25 22:49
26K
py3-pyspinel-pyc-1.0.3-r1.apk
2024-10-25 22:49
63K
py3-pyspinel-1.0.3-r1.apk
2024-10-25 22:49
56K
py3-pysonic-pyc-1.0.1-r1.apk
2024-10-25 22:49
32K
py3-pysonic-1.0.1-r1.apk
2024-10-25 22:49
35K
py3-pysimplesoap-pyc-1.16.2-r7.apk
2024-10-25 22:49
78K
py3-pysimplesoap-1.16.2-r7.apk
2024-10-25 22:49
44K
py3-pysequoia-pyc-0.1.20-r3.apk
2024-10-25 22:49
1.9K
py3-pysequoia-0.1.20-r3.apk
2024-10-25 22:49
1.6M
py3-pyscreeze-pyc-0.1.29-r3.apk
2024-10-25 22:49
14K
py3-pyscreeze-0.1.29-r3.apk
2024-10-25 22:49
14K
py3-pyroma-pyc-4.2-r0.apk
2024-10-25 22:49
26K
py3-pyroma-4.2-r0.apk
2024-10-25 22:49
22K
py3-pyrebase-pyc-3.0.27-r5.apk
2024-10-25 22:49
18K
py3-pyrebase-3.0.27-r5.apk
2024-10-25 22:49
9.6K
py3-pyqrcode-pyc-1.2.1-r0.apk
2024-10-25 22:49
47K
py3-pyqrcode-doc-1.2.1-r0.apk
2024-10-25 22:49
4.3K
py3-pyqrcode-1.2.1-r0.apk
2024-10-25 22:49
37K
py3-pypubsub-pyc-4.0.3-r0.apk
2024-10-25 22:49
90K
py3-pypubsub-doc-4.0.3-r0.apk
2024-10-25 22:49
2.1K
py3-pypubsub-4.0.3-r0.apk
2024-10-25 22:49
52K
py3-pyparted-pyc-3.13.0-r1.apk
2024-10-25 22:49
42K
py3-pyparted-3.13.0-r1.apk
2024-10-25 22:49
79K
py3-pynest2d-5.2.2-r4.apk
2024-10-25 22:49
259K
py3-pymsteams-pyc-0.2.3-r1.apk
2024-10-25 22:49
6.6K
py3-pymsteams-0.2.3-r1.apk
2024-10-25 22:49
12K
py3-pymsgbox-pyc-1.0.9-r5.apk
2024-10-25 22:49
9.8K
py3-pymsgbox-1.0.9-r5.apk
2024-10-25 22:49
9.2K
py3-pymeta3-pyc-0.5.1-r6.apk
2024-10-25 22:49
32K
py3-pymeta3-0.5.1-r6.apk
2024-10-25 22:49
17K
py3-pymata4-pyc-1.15-r4.apk
2024-10-25 22:49
31K
py3-pymata4-1.15-r4.apk
2024-10-25 22:49
23K
py3-pymata-pyc-2.20-r4.apk
2024-10-25 22:49
29K
py3-pymata-2.20-r4.apk
2024-10-25 22:49
22K
py3-pymaging-pyc-0.0.20130908-r10.apk
2024-10-25 22:49
32K
py3-pymaging-png-pyc-0.0.20130727-r10.apk
2024-10-25 22:49
52K
py3-pymaging-png-0.0.20130727-r10.apk
2024-10-25 22:49
35K
py3-pymaging-0.0.20130908-r10.apk
2024-10-25 22:49
18K
py3-pylru-pyc-1.2.1-r1.apk
2024-10-25 22:49
8.9K
py3-pylru-1.2.1-r1.apk
2024-10-25 22:49
17K
py3-pyisbn-pyc-1.3.1-r3.apk
2024-10-25 22:49
9.4K
py3-pyisbn-1.3.1-r3.apk
2024-10-25 22:49
20K
py3-pyinstaller-pyc-6.6.0-r0.apk
2024-10-25 22:49
501K
py3-pyinstaller-6.6.0-r0.apk
2024-10-25 22:49
1.9M
py3-pygtail-pyc-0.14.0-r3.apk
2024-10-25 22:49
10K
py3-pygtail-0.14.0-r3.apk
2024-10-25 22:49
15K
py3-pygpgme-pyc-0.3.1-r9.apk
2024-10-25 22:49
5.1K
py3-pygpgme-0.3.1-r9.apk
2024-10-25 22:49
38K
py3-pygfm-pyc-2.0.0-r2.apk
2024-10-25 22:49
13K
py3-pygfm-2.0.0-r2.apk
2024-10-25 22:49
13K
py3-pygelbooru-pyc-0.5.0-r4.apk
2024-10-25 22:49
12K
py3-pygelbooru-0.5.0-r4.apk
2024-10-25 22:49
8.2K
py3-pydes-pyc-2.0.1-r5.apk
2024-10-25 22:49
13K
py3-pydes-doc-2.0.1-r5.apk
2024-10-25 22:49
3.6K
py3-pydes-2.0.1-r5.apk
2024-10-25 22:49
11K
py3-pycosat-0.6.6-r2.apk
2024-10-25 22:49
47K
py3-pycolorterm-pyc-0.2.1-r6.apk
2024-10-25 22:49
3.7K
py3-pycolorterm-0.2.1-r6.apk
2024-10-25 22:49
5.5K
py3-pycaption-2.2.15-r0.apk
2024-10-25 22:49
360K
py3-pybars3-pyc-0.9.7-r6.apk
2024-10-25 22:49
17K
py3-pybars3-0.9.7-r6.apk
2024-10-25 22:49
15K
py3-pyautogui-pyc-0.9.53-r5.apk
2024-10-25 22:49
45K
py3-pyautogui-0.9.53-r5.apk
2024-10-25 22:49
35K
py3-pyatem-pyc-0.5.0-r4.apk
2024-10-25 22:49
92K
py3-pyatem-0.5.0-r4.apk
2024-10-25 22:49
52K
py3-py-radix-pyc-0.10.0-r10.apk
2024-10-25 22:49
11K
py3-py-radix-0.10.0-r10.apk
2024-10-25 22:49
20K
py3-pure_protobuf-pyc-3.1.2-r0.apk
2024-10-25 22:49
38K
py3-pure_protobuf-3.1.2-r0.apk
2024-10-25 22:49
21K
py3-proglog-pyc-0.1.10-r2.apk
2024-10-25 22:49
9.9K
py3-proglog-0.1.10-r2.apk
2024-10-25 22:49
7.4K
py3-print-color-pyc-0.4.6-r0.apk
2024-10-25 22:49
5.0K
py3-print-color-doc-0.4.6-r0.apk
2024-10-25 22:49
2.6K
py3-print-color-0.4.6-r0.apk
2024-10-25 22:49
8.9K
py3-pprintpp-pyc-0.4.0-r1.apk
2024-10-25 22:49
16K
py3-pprintpp-0.4.0-r1.apk
2024-10-25 22:49
14K
py3-poppler-qt5-21.3.0-r1.apk
2024-10-25 22:49
137K
py3-poetry-dynamic-versioning-pyc-1.4.1-r0.apk
2024-10-25 22:49
24K
py3-poetry-dynamic-versioning-1.4.1-r0.apk
2024-10-25 22:49
19K
py3-pockethernet-pyc-0.7.0-r4.apk
2024-10-25 22:49
25K
py3-pockethernet-0.7.0-r4.apk
2024-10-25 22:49
15K
py3-playsound-pyc-1.3.0-r1.apk
2024-10-25 22:49
8.5K
py3-playsound-1.3.0-r1.apk
2024-10-25 22:49
6.8K
py3-pip-system-certs-pyc-4.0-r1.apk
2024-10-25 22:49
4.6K
py3-pip-system-certs-4.0-r1.apk
2024-10-25 22:49
7.0K
py3-pillow_heif-pyc-0.18.0-r0.apk
2024-10-25 22:49
36K
py3-pillow_heif-0.18.0-r0.apk
2024-10-25 22:49
44K
py3-pika-pyc-1.3.2-r1.apk
2024-10-25 22:49
246K
py3-pika-1.3.2-r1.apk
2024-10-25 22:49
143K
py3-pickle-secure-pyc-0.99.9-r1.apk
2024-10-25 22:49
5.3K
py3-pickle-secure-0.99.9-r1.apk
2024-10-25 22:49
7.5K
py3-piccata-pyc-2.0.3-r1.apk
2024-10-25 22:49
34K
py3-piccata-2.0.3-r1.apk
2024-10-25 22:49
20K
py3-phx-class-registry-pyc-5.0.0-r0.apk
2024-10-25 22:49
17K
py3-phx-class-registry-doc-5.0.0-r0.apk
2024-10-25 22:49
2.2K
py3-phx-class-registry-5.0.0-r0.apk
2024-10-25 22:49
13K
py3-phpserialize-pyc-1.3-r8.apk
2024-10-25 22:49
11K
py3-phpserialize-1.3-r8.apk
2024-10-25 22:49
8.8K
py3-pep8-naming-pyc-0.14.1-r0.apk
2024-10-25 22:49
13K
py3-pep8-naming-0.14.1-r0.apk
2024-10-25 22:49
9.8K
py3-pelican-pyc-4.9.1-r2.apk
2024-10-25 22:49
147K
py3-pelican-4.9.1-r2.apk
2024-10-25 22:49
234K
py3-pbkdf2-pyc-1.3-r7.apk
2024-10-25 22:49
7.1K
py3-pbkdf2-1.3-r7.apk
2024-10-25 22:49
6.3K
py3-pam-pyc-2.0.2-r2.apk
2024-10-25 22:49
13K
py3-pam-2.0.2-r2.apk
2024-10-25 22:49
11K
py3-padacioso-pyc-0.2.1-r0.apk
2024-10-25 22:49
12K
py3-padacioso-0.2.1-r0.apk
2024-10-25 22:49
11K
py3-pacparser-pyc-1.4.5-r1.apk
2024-10-25 22:49
4.0K
py3-pacparser-1.4.5-r1.apk
2024-10-25 22:49
419K
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk
2024-10-25 22:49
4.1K
py3-ovos-translate-server-plugin-0.0.0-r0.apk
2024-10-25 22:49
8.3K
py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk
2024-10-25 22:49
104K
py3-ovos-ocp-files-plugin-0.13.1-r0.apk
2024-10-25 22:49
47K
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk
2024-10-25 22:49
435K
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk
2024-10-25 22:49
358K
py3-osqp-pyc-0.6.2-r6.apk
2024-10-25 22:49
76K
py3-osqp-dev-0.6.2-r6.apk
2024-10-25 22:49
49K
py3-osqp-0.6.2-r6.apk
2024-10-25 22:49
110K
py3-orderedmultidict-pyc-1.0.1-r7.apk
2024-10-25 22:49
17K
py3-orderedmultidict-1.0.1-r7.apk
2024-10-25 22:49
12K
py3-openwisp-utils-pyc-1.0.4-r4.apk
2024-10-25 22:49
42K
py3-openwisp-utils-1.0.4-r4.apk
2024-10-25 22:49
498K
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk
2024-10-25 22:49
10K
py3-openssh-wrapper-0.5_git20130425-r4.apk
2024-10-25 22:49
8.2K
py3-openapi-codec-pyc-1.3.2-r9.apk
2024-10-25 22:49
12K
py3-openapi-codec-1.3.2-r9.apk
2024-10-25 22:49
7.6K
py3-okonomiyaki-pyc-2.0.0-r0.apk
2024-10-25 22:49
243K
py3-okonomiyaki-2.0.0-r0.apk
2024-10-25 22:49
7.9M
py3-nwdiag-pyc-3.0.0-r3.apk
2024-10-25 22:49
78K
py3-nwdiag-3.0.0-r3.apk
2024-10-25 22:49
4.9M
py3-ntplib-pyc-0.4.0-r5.apk
2024-10-25 22:49
8.6K
py3-ntplib-0.4.0-r5.apk
2024-10-25 22:49
7.4K
py3-nptyping-pyc-2.5.0-r3.apk
2024-10-25 22:49
32K
py3-nptyping-2.5.0-r3.apk
2024-10-25 22:49
21K
py3-notifymail-pyc-1.1-r8.apk
2024-10-25 22:49
5.7K
py3-notifymail-1.1-r8.apk
2024-10-25 22:49
7.6K
py3-nose-timer-pyc-1.0.1-r6.apk
2024-10-25 22:49
9.9K
py3-nose-timer-1.0.1-r6.apk
2024-10-25 22:49
9.4K
py3-nmap-pyc-0.7.1-r4.apk
2024-10-25 22:49
25K
py3-nmap-0.7.1-r4.apk
2024-10-25 22:49
20K
py3-nikola-pyc-8.3.1-r0.apk
2024-10-25 22:49
529K
py3-nikola-doc-8.3.1-r0.apk
2024-10-25 22:49
61K
py3-nikola-8.3.1-r0.apk
2024-10-25 22:49
1.2M
py3-netmiko-pyc-4.4.0-r0.apk
2024-10-25 22:49
333K
py3-netmiko-4.4.0-r0.apk
2024-10-25 22:49
176K
py3-netifaces2-pyc-0.0.22-r0.apk
2024-10-25 22:49
9.2K
py3-netifaces2-0.0.22-r0.apk
2024-10-25 22:49
202K
py3-ncclient-pyc-0.6.13-r5.apk
2024-10-25 22:49
107K
py3-ncclient-0.6.13-r5.apk
2024-10-25 22:49
68K
py3-natpmp-pyc-1.3.2-r1.apk
2024-10-25 22:49
9.8K
py3-natpmp-1.3.2-r1.apk
2024-10-25 22:49
9.4K
py3-msldap-pyc-0.5.12-r0.apk
2024-10-25 22:49
314K
py3-msldap-0.5.12-r0.apk
2024-10-25 22:49
139K
py3-moviepy-pyc-1.0.3-r6.apk
2024-10-25 22:49
156K
py3-moviepy-1.0.3-r6.apk
2024-10-25 22:49
94K
py3-more-properties-pyc-1.1.1-r3.apk
2024-10-25 22:49
8.2K
py3-more-properties-1.1.1-r3.apk
2024-10-25 22:49
7.4K
py3-mopidy-tidal-pyc-0.3.2-r6.apk
2024-10-25 22:49
36K
py3-mopidy-tidal-0.3.2-r6.apk
2024-10-25 22:49
25K
py3-mopidy-mpd-pyc-3.3.0-r4.apk
2024-10-25 22:49
74K
py3-mopidy-mpd-3.3.0-r4.apk
2024-10-25 22:49
46K
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk
2024-10-25 22:49
37K
py3-mopidy-jellyfin-1.0.4-r4.apk
2024-10-25 22:49
25K
py3-modbus-tk-pyc-1.1.1-r4.apk
2024-10-25 22:49
48K
py3-modbus-tk-1.1.1-r4.apk
2024-10-25 22:49
25K
py3-mnemonic-pyc-0.21-r0.apk
2024-10-25 22:49
9.7K
py3-mnemonic-doc-0.21-r0.apk
2024-10-25 22:49
2.4K
py3-mnemonic-0.21-r0.apk
2024-10-25 22:49
95K
py3-mistletoe-pyc-1.2.1-r2.apk
2024-10-25 22:49
92K
py3-mistletoe-1.2.1-r2.apk
2024-10-25 22:49
44K
py3-minikerberos-pyc-0.4.4-r1.apk
2024-10-25 22:49
263K
py3-minikerberos-0.4.4-r1.apk
2024-10-25 22:49
129K
py3-minidump-pyc-0.0.24-r0.apk
2024-10-25 22:49
130K
py3-minidump-0.0.24-r0.apk
2024-10-25 22:49
65K
py3-milc-pyc-1.9.0-r0.apk
2024-10-25 22:49
42K
py3-milc-1.9.0-r0.apk
2024-10-25 22:49
26K
py3-migen-pyc-0.9.2-r2.apk
2024-10-25 22:49
295K
py3-migen-0.9.2-r2.apk
2024-10-25 22:49
143K
py3-mbedtls-pyc-2.10.1-r2.apk
2024-10-25 22:49
27K
py3-mbedtls-2.10.1-r2.apk
2024-10-25 22:49
919K
py3-marshmallow-enum-pyc-1.5.1-r7.apk
2024-10-25 22:49
4.4K
py3-marshmallow-enum-1.5.1-r7.apk
2024-10-25 22:49
5.3K
py3-markdown2-pyc-2.5.0-r0.apk
2024-10-25 22:49
76K
py3-markdown2-2.5.0-r0.apk
2024-10-25 22:49
47K
py3-mapbox-earcut-1.0.1-r2.apk
2024-10-25 22:49
60K
py3-mando-pyc-0.7.1-r3.apk
2024-10-25 22:49
36K
py3-mando-doc-0.7.1-r3.apk
2024-10-25 22:49
4.2K
py3-mando-0.7.1-r3.apk
2024-10-25 22:49
22K
py3-m2crypto-pyc-0.41.0-r2.apk
2024-10-25 22:49
122K
py3-m2crypto-0.41.0-r2.apk
2024-10-25 22:49
200K
py3-lzo-pyc-1.16-r1.apk
2024-10-25 22:49
1.9K
py3-lzo-1.16-r1.apk
2024-10-25 22:49
17K
py3-ly-pyc-0.9.8-r1.apk
2024-10-25 22:49
354K
py3-ly-doc-0.9.8-r1.apk
2024-10-25 22:49
8.1K
py3-ly-0.9.8-r1.apk
2024-10-25 22:49
187K
py3-lunr-pyc-0.6.2-r4.apk
2024-10-25 22:49
51K
py3-lunr-0.6.2-r4.apk
2024-10-25 22:49
32K
py3-luhn-pyc-0.2.0-r9.apk
2024-10-25 22:49
2.6K
py3-luhn-0.2.0-r9.apk
2024-10-25 22:49
4.0K
py3-lsprotocol-pyc-2023.0.1-r1.apk
2024-10-25 22:49
107K
py3-lsprotocol-2023.0.1-r1.apk
2024-10-25 22:49
69K
py3-lsp-mypy-pyc-0.6.8-r1.apk
2024-10-25 22:49
11K
py3-lsp-mypy-0.6.8-r1.apk
2024-10-25 22:49
12K
py3-lsp-black-pyc-2.0.0-r1.apk
2024-10-25 22:49
6.4K
py3-lsp-black-2.0.0-r1.apk
2024-10-25 22:49
7.5K
py3-logtop-pyc-0.7-r0.apk
2024-10-25 22:49
4.0K
py3-logtop-0.7-r0.apk
2024-10-25 22:49
21K
py3-logfury-pyc-1.0.1-r0.apk
2024-10-25 22:49
7.1K
py3-logfury-doc-1.0.1-r0.apk
2024-10-25 22:49
2.4K
py3-logfury-1.0.1-r0.apk
2024-10-25 22:49
7.9K
py3-log-symbols-pyc-0.0.14-r5.apk
2024-10-25 22:49
3.1K
py3-log-symbols-0.0.14-r5.apk
2024-10-25 22:49
4.2K
py3-litex-hub-valentyusb-2024.04-r0.apk
2024-10-25 22:49
112K
py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk
2024-10-25 22:49
4.7M
py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk
2024-10-25 22:49
2.2M
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk
2024-10-25 22:49
58K
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk
2024-10-25 22:49
2.4M
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk
2024-10-25 22:49
713K
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk
2024-10-25 22:49
675K
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk
2024-10-25 22:49
57M
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk
2024-10-25 22:48
230K
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk
2024-10-25 22:48
7.6K
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk
2024-10-25 22:48
221K
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk
2024-10-25 22:48
45K
py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk
2024-10-25 22:48
19M
py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk
2024-10-25 22:48
208K
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk
2024-10-25 22:48
112K
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk
2024-10-25 22:48
1.8M
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk
2024-10-25 22:48
10M
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk
2024-10-25 22:48
500K
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk
2024-10-25 22:48
934K
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk
2024-10-25 22:48
1.9M
py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk
2024-10-25 22:48
5.6M
py3-litex-hub-modules-pyc-2024.04-r0.apk
2024-10-25 22:48
1.1M
py3-litex-hub-modules-2024.04-r0.apk
2024-10-25 22:48
1.6K
py3-linkify-it-py-pyc-2.0.3-r1.apk
2024-10-25 22:48
23K
py3-linkify-it-py-2.0.3-r1.apk
2024-10-25 22:48
21K
py3-librtmp-pyc-0.3.0-r6.apk
2024-10-25 22:48
25K
py3-librtmp-0.3.0-r6.apk
2024-10-25 22:48
36K
py3-libnacl-pyc-2.1.0-r1.apk
2024-10-25 22:48
30K
py3-libnacl-2.1.0-r1.apk
2024-10-25 22:48
20K
py3-libiio-0.25-r2.apk
2024-10-25 22:48
13K
py3-libacl-0.7.0-r2.apk
2024-10-25 22:48
26K
py3-lib_users-pyc-0.15-r4.apk
2024-10-25 22:48
9.5K
py3-lib_users-0.15-r4.apk
2024-10-25 22:48
16K
py3-latex2mathml-pyc-3.77.0-r1.apk
2024-10-25 22:48
35K
py3-latex2mathml-3.77.0-r1.apk
2024-10-25 22:48
72K
py3-landlock-pyc-1.0.0_pre4-r2.apk
2024-10-25 22:48
9.5K
py3-landlock-1.0.0_pre4-r2.apk
2024-10-25 22:48
8.4K
py3-kerberos-1.3.1-r5.apk
2024-10-25 22:48
17K
py3-keepalive-pyc-0.5-r5.apk
2024-10-25 22:48
13K
py3-keepalive-doc-0.5-r5.apk
2024-10-25 22:48
2.0K
py3-keepalive-0.5-r5.apk
2024-10-25 22:48
9.0K
py3-kazoo-pyc-0_git20211202-r4.apk
2024-10-25 22:48
243K
py3-kazoo-0_git20211202-r4.apk
2024-10-25 22:48
125K
py3-junit-xml-pyc-1.9-r3.apk
2024-10-25 22:48
9.3K
py3-junit-xml-1.9-r3.apk
2024-10-25 22:48
8.3K
py3-jsonschema417-pyc-4.17.3-r1.apk
2024-10-25 22:48
125K
py3-jsonschema417-4.17.3-r1.apk
2024-10-25 22:48
73K
py3-jaraco.versioning-pyc-1.1.0-r0.apk
2024-10-25 22:48
6.1K
py3-jaraco.versioning-1.1.0-r0.apk
2024-10-25 22:48
5.9K
py3-jaraco.vcs-pyc-2.4.0-r0.apk
2024-10-25 22:48
15K
py3-jaraco.vcs-2.4.0-r0.apk
2024-10-25 22:48
9.8K
py3-jaraco.path-pyc-3.7.2-r0.apk
2024-10-25 22:48
9.5K
py3-jaraco.path-3.7.2-r0.apk
2024-10-25 22:48
7.6K
py3-jaraco.logging-pyc-3.3.0-r0.apk
2024-10-25 22:48
5.8K
py3-jaraco.logging-3.3.0-r0.apk
2024-10-25 22:48
6.0K
py3-itunespy-pyc-1.6-r4.apk
2024-10-25 22:48
15K
py3-itunespy-1.6-r4.apk
2024-10-25 22:48
10K
py3-iterable-io-pyc-1.0.0-r0.apk
2024-10-25 22:48
5.3K
py3-iterable-io-1.0.0-r0.apk
2024-10-25 22:48
6.0K
py3-itemloaders-pyc-1.3.2-r0.apk
2024-10-25 22:48
17K
py3-itemloaders-1.3.2-r0.apk
2024-10-25 22:48
13K
py3-iso639-lang-pyc-2.2.3-r0.apk
2024-10-25 22:48
9.7K
py3-iso639-lang-2.2.3-r0.apk
2024-10-25 22:48
269K
py3-irc-pyc-20.4.1-r0.apk
2024-10-25 22:48
71K
py3-irc-20.4.1-r0.apk
2024-10-25 22:48
41K
py3-intervals-pyc-0.9.2-r5.apk
2024-10-25 22:48
15K
py3-intervals-0.9.2-r5.apk
2024-10-25 22:48
9.4K
py3-iniparse-pyc-0.5-r7.apk
2024-10-25 22:48
25K
py3-iniparse-doc-0.5-r7.apk
2024-10-25 22:48
10K
py3-iniparse-0.5-r7.apk
2024-10-25 22:48
19K
py3-infinity-pyc-1.5-r6.apk
2024-10-25 22:48
3.7K
py3-infinity-1.5-r6.apk
2024-10-25 22:48
4.4K
py3-incoming-pyc-0.3.1-r8.apk
2024-10-25 22:48
20K
py3-incoming-0.3.1-r8.apk
2024-10-25 22:48
13K
py3-imdbpy-pyc-2021.4.18-r5.apk
2024-10-25 22:48
242K
py3-imdbpy-2021.4.18-r5.apk
2024-10-25 22:48
229K
py3-imageio-pyc-2.35.1-r0.apk
2024-10-25 22:48
505K
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk
2024-10-25 22:48
20K
py3-imageio-ffmpeg-0.4.9-r1.apk
2024-10-25 22:48
16K
py3-imageio-2.35.1-r0.apk
2024-10-25 22:48
287K
py3-hurry.filesize-pyc-0.9-r8.apk
2024-10-25 22:48
3.2K
py3-hurry.filesize-0.9-r8.apk
2024-10-25 22:48
4.6K
py3-html5-parser-pyc-0.4.12-r1.apk
2024-10-25 22:48
22K
py3-html5-parser-0.4.12-r1.apk
2024-10-25 22:48
173K
py3-hishel-pyc-0.0.33-r0.apk
2024-10-25 22:48
73K
py3-hishel-0.0.33-r0.apk
2024-10-25 22:48
34K
py3-hg-git-pyc-1.1.1-r1.apk
2024-10-25 22:48
106K
py3-hg-git-1.1.1-r1.apk
2024-10-25 22:48
70K
py3-hfst-3.16.0-r2.apk
2024-10-25 22:48
370K
py3-helper-pyc-2.5.0-r5.apk
2024-10-25 22:48
28K
py3-helper-2.5.0-r5.apk
2024-10-25 22:48
19K
py3-hatch-openzim-pyc-0.2.0-r0.apk
2024-10-25 22:48
13K
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk
2024-10-25 22:48
13K
py3-hatch-openzim-bootstrap-0.1.0-r0.apk
2024-10-25 22:48
24K
py3-hatch-openzim-0.2.0-r0.apk
2024-10-25 22:48
24K
py3-halo-pyc-0.0.31-r5.apk
2024-10-25 22:48
14K
py3-halo-0.0.31-r5.apk
2024-10-25 22:48
11K
py3-grequests-pyc-0.7.0-r2.apk
2024-10-25 22:48
5.9K
py3-grequests-0.7.0-r2.apk
2024-10-25 22:48
6.8K
py3-googletrans-pyc-3.0.0-r5.apk
2024-10-25 22:48
17K
py3-googletrans-3.0.0-r5.apk
2024-10-25 22:48
15K
py3-google-trans-new-pyc-1.1.9-r2.apk
2024-10-25 22:48
11K
py3-google-trans-new-1.1.9-r2.apk
2024-10-25 22:48
9.2K
py3-gls-pyc-1.3.1-r1.apk
2024-10-25 22:48
84K
py3-gls-1.3.1-r1.apk
2024-10-25 22:48
47K
py3-glob2-pyc-0.7-r6.apk
2024-10-25 22:48
13K
py3-glob2-0.7-r6.apk
2024-10-25 22:48
10K
py3-github3-pyc-4.0.1-r1.apk
2024-10-25 22:48
227K
py3-github3-4.0.1-r1.apk
2024-10-25 22:48
128K
py3-git-versioner-pyc-7.1-r1.apk
2024-10-25 22:48
13K
py3-git-versioner-7.1-r1.apk
2024-10-25 22:48
12K
py3-gevent-websocket-pyc-0.10.1-r8.apk
2024-10-25 22:48
30K
py3-gevent-websocket-0.10.1-r8.apk
2024-10-25 22:48
20K
py3-geoip-1.3.2-r4.apk
2024-10-25 22:48
23K
py3-fuzzywuzzy-pyc-0.18.0-r7.apk
2024-10-25 22:48
15K
py3-fuzzywuzzy-0.18.0-r7.apk
2024-10-25 22:48
18K
py3-furl-pyc-2.1.3-r4.apk
2024-10-25 22:48
32K
py3-furl-2.1.3-r4.apk
2024-10-25 22:48
21K
py3-funcparserlib-pyc-1.0.1-r4.apk
2024-10-25 22:48
19K
py3-funcparserlib-1.0.1-r4.apk
2024-10-25 22:48
17K
py3-freetype-py-2.5.1-r0.apk
2024-10-25 22:48
161K
py3-fpdf-pyc-1.7.2-r5.apk
2024-10-25 22:48
89K
py3-fpdf-1.7.2-r5.apk
2024-10-25 22:48
40K
py3-forbiddenfruit-pyc-0.1.4-r2.apk
2024-10-25 22:48
9.6K
py3-forbiddenfruit-0.1.4-r2.apk
2024-10-25 22:48
8.9K
py3-flask-themer-pyc-2.0.0-r2.apk
2024-10-25 22:48
7.0K
py3-flask-themer-2.0.0-r2.apk
2024-10-25 22:48
7.9K
py3-flask-security-pyc-5.4.3-r2.apk
2024-10-25 22:48
211K
py3-flask-security-5.4.3-r2.apk
2024-10-25 22:48
267K
py3-flask-restless-pyc-0.17.0-r9.apk
2024-10-25 22:48
59K
py3-flask-restless-0.17.0-r9.apk
2024-10-25 22:48
40K
py3-flask-restaction-pyc-0.25.3-r8.apk
2024-10-25 22:48
20K
py3-flask-restaction-0.25.3-r8.apk
2024-10-25 22:48
115K
py3-flask-peewee-pyc-3.0.6-r0.apk
2024-10-25 22:48
95K
py3-flask-peewee-3.0.6-r0.apk
2024-10-25 22:48
172K
py3-flask-paginate-pyc-0.8.1-r6.apk
2024-10-25 22:48
11K
py3-flask-paginate-0.8.1-r6.apk
2024-10-25 22:48
8.2K
py3-flask-migrate-pyc-4.0.7-r0.apk
2024-10-25 22:48
18K
py3-flask-migrate-4.0.7-r0.apk
2024-10-25 22:48
13K
py3-flask-markdown-pyc-0.3-r8.apk
2024-10-25 22:48
3.8K
py3-flask-markdown-0.3-r8.apk
2024-10-25 22:48
5.6K
py3-flask-mailman-pyc-1.1.1-r0.apk
2024-10-25 22:48
26K
py3-flask-mailman-1.1.1-r0.apk
2024-10-25 22:48
16K
py3-flask-loopback-pyc-1.4.7-r7.apk
2024-10-25 22:48
7.9K
py3-flask-loopback-1.4.7-r7.apk
2024-10-25 22:48
5.6K
py3-flask-json-schema-pyc-0.0.5-r4.apk
2024-10-25 22:48
3.4K
py3-flask-json-schema-0.0.5-r4.apk
2024-10-25 22:48
4.1K
py3-flask-httpauth-pyc-4.8.0-r2.apk
2024-10-25 22:48
11K
py3-flask-httpauth-4.8.0-r2.apk
2024-10-25 22:48
8.0K
py3-flask-headers-pyc-1.0-r9.apk
2024-10-25 22:48
2.4K
py3-flask-headers-1.0-r9.apk
2024-10-25 22:48
3.2K
py3-flask-gzip-pyc-0.2-r8.apk
2024-10-25 22:48
2.8K
py3-flask-gzip-0.2-r8.apk
2024-10-25 22:48
3.2K
py3-flask-dbconfig-pyc-0.3.12-r8.apk
2024-10-25 22:48
6.2K
py3-flask-dbconfig-0.3.12-r8.apk
2024-10-25 22:48
86K
py3-flask-components-pyc-0.1.1-r9.apk
2024-10-25 22:48
3.3K
py3-flask-components-0.1.1-r9.apk
2024-10-25 22:48
3.9K
py3-flask-cdn-pyc-1.5.3-r8.apk
2024-10-25 22:48
4.1K
py3-flask-cdn-1.5.3-r8.apk
2024-10-25 22:48
4.8K
py3-flask-cache-pyc-0.13.1-r9.apk
2024-10-25 22:48
18K
py3-flask-cache-0.13.1-r9.apk
2024-10-25 22:48
13K
py3-flask-bootstrap-pyc-3.3.7.1-r8.apk
2024-10-25 22:48
11K
py3-flask-bootstrap-3.3.7.1-r8.apk
2024-10-25 22:48
450K
py3-flask-bcrypt-pyc-1.0.1-r5.apk
2024-10-25 22:48
5.8K
py3-flask-bcrypt-1.0.1-r5.apk
2024-10-25 22:48
7.1K
py3-flask-basicauth-pyc-0.2.0-r9.apk
2024-10-25 22:48
4.1K
py3-flask-basicauth-0.2.0-r9.apk
2024-10-25 22:48
5.3K
py3-flask-autorouter-pyc-0.2.2-r3.apk
2024-10-25 22:48
4.9K
py3-flask-autorouter-0.2.2-r3.apk
2024-10-25 22:48
5.1K
py3-flask-admin-pyc-1.6.1-r3.apk
2024-10-25 22:48
357K
py3-flask-admin-1.6.1-r3.apk
2024-10-25 22:48
6.5M
py3-flask-accept-pyc-0.0.6-r1.apk
2024-10-25 22:48
3.7K
py3-flask-accept-0.0.6-r1.apk
2024-10-25 22:48
5.0K
py3-flake8-todo-pyc-0.7-r7.apk
2024-10-25 22:48
2.2K
py3-flake8-todo-0.7-r7.apk
2024-10-25 22:48
3.6K
py3-flake8-snippets-pyc-0.2-r8.apk
2024-10-25 22:48
3.6K
py3-flake8-snippets-0.2-r8.apk
2024-10-25 22:48
5.3K
py3-flake8-print-pyc-5.0.0-r5.apk
2024-10-25 22:48
4.4K
py3-flake8-print-5.0.0-r5.apk
2024-10-25 22:48
6.7K
py3-flake8-polyfill-pyc-1.0.2-r5.apk
2024-10-25 22:48
5.7K
py3-flake8-polyfill-1.0.2-r5.apk
2024-10-25 22:48
7.1K
py3-flake8-isort-pyc-6.1.1-r1.apk
2024-10-25 22:48
5.3K
py3-flake8-isort-6.1.1-r1.apk
2024-10-25 22:48
18K
py3-flake8-import-order-pyc-0.18.2-r4.apk
2024-10-25 22:48
17K
py3-flake8-import-order-0.18.2-r4.apk
2024-10-25 22:48
15K
py3-flake8-debugger-pyc-4.1.2-r4.apk
2024-10-25 22:48
5.9K
py3-flake8-debugger-4.1.2-r4.apk
2024-10-25 22:48
6.2K
py3-flake8-copyright-pyc-0.2.4-r3.apk
2024-10-25 22:48
3.3K
py3-flake8-copyright-0.2.4-r3.apk
2024-10-25 22:48
18K
py3-flake8-blind-except-pyc-0.2.1-r4.apk
2024-10-25 22:48
2.6K
py3-flake8-blind-except-0.2.1-r4.apk
2024-10-25 22:48
5.2K
py3-firmata-pyc-1.0.3-r10.apk
2024-10-25 22:48
21K
py3-firmata-1.0.3-r10.apk
2024-10-25 22:48
14K
py3-findpython-pyc-0.6.2-r0.apk
2024-10-25 22:48
30K
py3-findpython-0.6.2-r0.apk
2024-10-25 22:48
17K
py3-ffmpeg-pyc-0.2.0-r4.apk
2024-10-25 22:48
33K
py3-ffmpeg-0.2.0-r4.apk
2024-10-25 22:48
24K
py3-feedgenerator-pyc-2.1.0-r2.apk
2024-10-25 22:48
27K
py3-feedgenerator-2.1.0-r2.apk
2024-10-25 22:48
18K
py3-feedgen-pyc-1.0.0-r1.apk
2024-10-25 22:48
62K
py3-feedgen-1.0.0-r1.apk
2024-10-25 22:48
40K
py3-fastdiff-pyc-0.3.0-r5.apk
2024-10-25 22:48
4.2K
py3-fastdiff-0.3.0-r5.apk
2024-10-25 22:48
38K
py3-evohome-client-pyc-0.3.7-r4.apk
2024-10-25 22:48
27K
py3-evohome-client-0.3.7-r4.apk
2024-10-25 22:48
19K
py3-euclid3-pyc-0.01-r8.apk
2024-10-25 22:48
33K
py3-euclid3-0.01-r8.apk
2024-10-25 22:48
14K
py3-eradicate-pyc-2.3.0-r2.apk
2024-10-25 22:48
8.3K
py3-eradicate-doc-2.3.0-r2.apk
2024-10-25 22:48
2.5K
py3-eradicate-2.3.0-r2.apk
2024-10-25 22:48
7.6K
py3-enzyme-pyc-0.5.1-r0.apk
2024-10-25 22:48
19K
py3-enzyme-0.5.1-r0.apk
2024-10-25 22:48
23K
py3-empy-pyc-3.3.4-r7.apk
2024-10-25 22:48
58K
py3-empy-3.3.4-r7.apk
2024-10-25 22:48
39K
py3-editdistance-s-pyc-1.0.0-r6.apk
2024-10-25 22:48
2.0K
py3-editdistance-s-1.0.0-r6.apk
2024-10-25 22:48
14K
py3-edalize-pyc-0.5.4-r0.apk
2024-10-25 22:48
190K
py3-edalize-0.5.4-r0.apk
2024-10-25 22:48
123K
py3-ecos-pyc-2.0.11-r4.apk
2024-10-25 22:48
3.6K
py3-ecos-2.0.11-r4.apk
2024-10-25 22:48
28K
py3-dweepy-pyc-0.3.0-r7.apk
2024-10-25 22:48
6.2K
py3-dweepy-0.3.0-r7.apk
2024-10-25 22:48
9.1K
py3-duniterpy-1.1.1-r3.apk
2024-10-25 22:48
221K
py3-drf-yasg-pyc-1.21.7-r2.apk
2024-10-25 22:48
97K
py3-drf-yasg-1.21.7-r2.apk
2024-10-25 22:48
4.1M
py3-dpath-pyc-2.2.0-r0.apk
2024-10-25 22:48
18K
py3-dpath-2.2.0-r0.apk
2024-10-25 22:48
17K
py3-downloader-cli-pyc-0.3.4-r1.apk
2024-10-25 22:48
14K
py3-downloader-cli-0.3.4-r1.apk
2024-10-25 22:48
11K
py3-dotty-dict-pyc-1.3.1-r4.apk
2024-10-25 22:48
8.7K
py3-dotty-dict-1.3.1-r4.apk
2024-10-25 22:48
8.4K
py3-dominate-pyc-2.9.1-r1.apk
2024-10-25 22:48
34K
py3-dominate-2.9.1-r1.apk
2024-10-25 22:48
25K
py3-doit-pyc-0.36.0-r5.apk
2024-10-25 22:48
133K
py3-doit-0.36.0-r5.apk
2024-10-25 22:48
77K
py3-dogpile.cache-pyc-1.3.3-r0.apk
2024-10-25 22:48
90K
py3-dogpile.cache-1.3.3-r0.apk
2024-10-25 22:48
53K
py3-dnslib-pyc-0.9.25-r0.apk
2024-10-25 22:48
109K
py3-dnslib-0.9.25-r0.apk
2024-10-25 22:48
52K
py3-django-taggit-serializer-pyc-0.1.7-r8.apk
2024-10-25 22:48
4.9K
py3-django-taggit-serializer-0.1.7-r8.apk
2024-10-25 22:48
4.0K
py3-django-suit-pyc-0.2.28-r8.apk
2024-10-25 22:48
32K
py3-django-suit-0.2.28-r8.apk
2024-10-25 22:48
366K
py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk
2024-10-25 22:48
15K
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk
2024-10-25 22:48
15K
py3-distorm3-pyc-3.5.2-r6.apk
2024-10-25 22:48
49K
py3-distorm3-3.5.2-r6.apk
2024-10-25 22:48
48K
py3-diskcache-pyc-5.6.3-r2.apk
2024-10-25 22:48
67K
py3-diskcache-5.6.3-r2.apk
2024-10-25 22:48
42K
py3-discid-pyc-1.2.0-r6.apk
2024-10-25 22:48
13K
py3-discid-1.2.0-r6.apk
2024-10-25 22:48
24K
py3-dexml-pyc-0.5.1-r9.apk
2024-10-25 22:48
37K
py3-dexml-0.5.1-r9.apk
2024-10-25 22:48
22K
py3-deluge-client-pyc-1.10.2-r0.apk
2024-10-25 22:48
20K
py3-deluge-client-doc-1.10.2-r0.apk
2024-10-25 22:48
2.3K
py3-deluge-client-1.10.2-r0.apk
2024-10-25 22:48
13K
py3-daterangestr-pyc-0.0.3-r8.apk
2024-10-25 22:48
4.2K
py3-daterangestr-0.0.3-r8.apk
2024-10-25 22:48
4.3K
py3-dataclasses-serialization-pyc-1.3.1-r3.apk
2024-10-25 22:48
14K
py3-dataclasses-serialization-1.3.1-r3.apk
2024-10-25 22:48
11K
py3-dataclasses-json-pyc-0.6.7-r0.apk
2024-10-25 22:48
36K
py3-dataclasses-json-0.6.7-r0.apk
2024-10-25 22:48
27K
py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk
2024-10-25 22:48
1.8K
py3-cython-test-exception-raiser-1.0.2-r0.apk
2024-10-25 22:48
18K
py3-cvxpy-pyc-1.2.1-r5.apk
2024-10-25 22:48
935K
py3-cvxpy-1.2.1-r5.apk
2024-10-25 22:48
676K
py3-cstruct-pyc-5.3-r1.apk
2024-10-25 22:48
36K
py3-cstruct-5.3-r1.apk
2024-10-25 22:48
22K
py3-cssutils-pyc-2.11.1-r1.apk
2024-10-25 22:48
279K
py3-cssutils-2.11.1-r1.apk
2024-10-25 22:48
155K
py3-createrepo_c-pyc-1.1.4-r0.apk
2024-10-25 22:48
15K
py3-createrepo_c-1.1.4-r0.apk
2024-10-25 22:48
45K
py3-crc16-pyc-0.1.1-r10.apk
2024-10-25 22:48
4.7K
py3-crc16-0.1.1-r10.apk
2024-10-25 22:48
12K
py3-coreapi-pyc-2.3.3-r9.apk
2024-10-25 22:48
43K
py3-coreapi-2.3.3-r9.apk
2024-10-25 22:48
22K
py3-cookiecutter-pyc-2.6.0-r1.apk
2024-10-25 22:48
47K
py3-cookiecutter-doc-2.6.0-r1.apk
2024-10-25 22:48
3.7K
py3-cookiecutter-2.6.0-r1.apk
2024-10-25 22:48
35K
py3-compdb-pyc-0.2.0-r8.apk
2024-10-25 22:48
39K
py3-compdb-doc-0.2.0-r8.apk
2024-10-25 22:48
3.0K
py3-compdb-0.2.0-r8.apk
2024-10-25 22:48
23K
py3-columnize-pyc-0.3.11-r4.apk
2024-10-25 22:48
7.5K
py3-columnize-0.3.11-r4.apk
2024-10-25 22:48
8.5K
py3-colorthief-pyc-0.2.1-r1.apk
2024-10-25 22:48
10K
py3-colorthief-0.2.1-r1.apk
2024-10-25 22:48
7.3K
py3-colander-pyc-2.0-r2.apk
2024-10-25 22:48
42K
py3-colander-2.0-r2.apk
2024-10-25 22:48
62K
py3-cobs-pyc-1.2.0-r4.apk
2024-10-25 22:48
12K
py3-cobs-1.2.0-r4.apk
2024-10-25 22:48
20K
py3-cmd2-pyc-2.4.3-r2.apk
2024-10-25 22:48
222K
py3-cmd2-2.4.3-r2.apk
2024-10-25 22:48
139K
py3-clickclick-pyc-20.10.2-r4.apk
2024-10-25 22:48
9.7K
py3-clickclick-20.10.2-r4.apk
2024-10-25 22:48
7.9K
py3-click-threading-pyc-0.5.0-r5.apk
2024-10-25 22:48
7.8K
py3-click-threading-0.5.0-r5.apk
2024-10-25 22:48
6.3K
py3-click-default-group-pyc-1.2.4-r1.apk
2024-10-25 22:48
4.5K
py3-click-default-group-1.2.4-r1.apk
2024-10-25 22:48
5.1K
py3-click-completion-pyc-0.5.2-r1.apk
2024-10-25 22:48
14K
py3-click-completion-0.5.2-r1.apk
2024-10-25 22:48
11K
py3-class-doc-pyc-1.25-r1.apk
2024-10-25 22:48
8.7K
py3-class-doc-1.25-r1.apk
2024-10-25 22:48
6.0K
py3-cjkwrap-pyc-2.2-r4.apk
2024-10-25 22:48
5.2K
py3-cjkwrap-2.2-r4.apk
2024-10-25 22:48
4.6K
py3-ciso8601-2.3.1-r1.apk
2024-10-25 22:48
16K
py3-chameleon-pyc-4.5.4-r0.apk
2024-10-25 22:48
131K
py3-chameleon-4.5.4-r0.apk
2024-10-25 22:48
97K
py3-certauth-pyc-1.3.0-r1.apk
2024-10-25 22:48
9.1K
py3-certauth-1.3.0-r1.apk
2024-10-25 22:48
8.7K
py3-cdio-pyc-2.1.1-r5.apk
2024-10-25 22:48
43K
py3-cdio-2.1.1-r5.apk
2024-10-25 22:48
103K
py3-cchardet-pyc-2.1.7-r5.apk
2024-10-25 22:48
3.0K
py3-cchardet-2.1.7-r5.apk
2024-10-25 22:48
126K
py3-catkin-pkg-pyc-0.5.2-r4.apk
2024-10-25 22:48
103K
py3-catkin-pkg-0.5.2-r4.apk
2024-10-25 22:48
57K
py3-cassandra-driver-pyc-3.29.2-r0.apk
2024-10-25 22:48
560K
py3-cassandra-driver-3.29.2-r0.apk
2024-10-25 22:48
286K
py3-c3d-pyc-0.5.2-r1.apk
2024-10-25 22:48
54K
py3-c3d-0.5.2-r1.apk
2024-10-25 22:48
32K
py3-businesstime-pyc-0.3.0-r9.apk
2024-10-25 22:48
16K
py3-businesstime-0.3.0-r9.apk
2024-10-25 22:48
11K
py3-bson-pyc-0.5.10-r6.apk
2024-10-25 22:48
18K
py3-bson-0.5.10-r6.apk
2024-10-25 22:48
12K
py3-bottle-werkzeug-pyc-0.1.1-r9.apk
2024-10-25 22:48
4.2K
py3-bottle-werkzeug-0.1.1-r9.apk
2024-10-25 22:48
4.1K
py3-bottle-websocket-pyc-0.2.9-r8.apk
2024-10-25 22:48
3.1K
py3-bottle-websocket-0.2.9-r8.apk
2024-10-25 22:48
4.6K
py3-bottle-sqlite-pyc-0.2.0-r7.apk
2024-10-25 22:48
5.2K
py3-bottle-sqlite-0.2.0-r7.apk
2024-10-25 22:48
4.7K
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk
2024-10-25 22:48
5.6K
py3-bottle-sqlalchemy-0.4.3-r8.apk
2024-10-25 22:48
4.8K
py3-bottle-session-pyc-1.0-r6.apk
2024-10-25 22:48
7.8K
py3-bottle-session-1.0-r6.apk
2024-10-25 22:48
10K
py3-bottle-rest-pyc-0.6.0-r1.apk
2024-10-25 22:48
5.1K
py3-bottle-rest-0.6.0-r1.apk
2024-10-25 22:48
6.1K
py3-bottle-request-pyc-0.2.0-r9.apk
2024-10-25 22:48
2.6K
py3-bottle-request-0.2.0-r9.apk
2024-10-25 22:48
3.2K
py3-bottle-renderer-pyc-0.1.1-r9.apk
2024-10-25 22:48
3.7K
py3-bottle-renderer-0.1.1-r9.apk
2024-10-25 22:48
4.0K
py3-bottle-redis-pyc-0.2.3-r6.apk
2024-10-25 22:48
3.1K
py3-bottle-redis-0.2.3-r6.apk
2024-10-25 22:48
3.3K
py3-bottle-pgsql-0.2-r5.apk
2024-10-25 22:48
4.3K
py3-bottle-api-pyc-0.0.4-r7.apk
2024-10-25 22:48
5.2K
py3-bottle-api-0.0.4-r7.apk
2024-10-25 22:48
4.9K
py3-bookkeeper-pyc-4.17.1-r0.apk
2024-10-25 22:48
67K
py3-bookkeeper-4.17.1-r0.apk
2024-10-25 22:48
43K
py3-blockdiag-tests-3.0.0-r5.apk
2024-10-25 22:48
2.5M
py3-blockdiag-pyc-3.0.0-r5.apk
2024-10-25 22:48
150K
py3-blockdiag-3.0.0-r5.apk
2024-10-25 22:48
70K
py3-blockchain-pyc-1.4.4-r7.apk
2024-10-25 22:48
18K
py3-blockchain-1.4.4-r7.apk
2024-10-25 22:48
11K
py3-bleak-0.22.3-r0.apk
2024-10-25 22:48
370K
py3-bitstruct-pyc-8.19.0-r1.apk
2024-10-25 22:48
13K
py3-bitstruct-8.19.0-r1.apk
2024-10-25 22:48
35K
py3-bidict-pyc-0.23.1-r1.apk
2024-10-25 22:48
29K
py3-bidict-0.23.1-r1.apk
2024-10-25 22:48
28K
py3-bencode-pyc-4.0.0-r1.apk
2024-10-25 22:48
10K
py3-bencode-4.0.0-r1.apk
2024-10-25 22:48
17K
py3-beartype-pyc-0.19.0-r0.apk
2024-10-25 22:48
596K
py3-beartype-0.19.0-r0.apk
2024-10-25 22:48
827K
py3-base58-2.1.1-r2.apk
2024-10-25 22:48
11K
py3-barcodenumber-pyc-0.2.1-r10.apk
2024-10-25 22:48
4.2K
py3-barcodenumber-0.2.1-r10.apk
2024-10-25 22:48
16K
py3-bandwidth-sdk-pyc-3.1.0-r8.apk
2024-10-25 22:48
69K
py3-bandwidth-sdk-3.1.0-r8.apk
2024-10-25 22:48
46K
py3-banal-pyc-1.0.6-r4.apk
2024-10-25 22:48
7.2K
py3-banal-1.0.6-r4.apk
2024-10-25 22:48
6.9K
py3-backoff-pyc-2.2.1-r0.apk
2024-10-25 22:48
14K
py3-backoff-doc-2.2.1-r0.apk
2024-10-25 22:48
2.2K
py3-backoff-2.2.1-r0.apk
2024-10-25 22:48
14K
py3-asysocks-pyc-0.2.13-r0.apk
2024-10-25 22:48
232K
py3-asysocks-0.2.13-r0.apk
2024-10-25 22:48
87K
py3-async-lru-pyc-2.0.4-r1.apk
2024-10-25 22:48
8.6K
py3-async-lru-2.0.4-r1.apk
2024-10-25 22:48
7.3K
py3-asyauth-pyc-0.0.21-r0.apk
2024-10-25 22:48
171K
py3-asyauth-0.0.21-r0.apk
2024-10-25 22:48
79K
py3-astral-pyc-3.2-r3.apk
2024-10-25 22:48
59K
py3-astral-3.2-r3.apk
2024-10-25 22:48
37K
py3-ask-pyc-0.0.8-r8.apk
2024-10-25 22:48
4.5K
py3-ask-0.0.8-r8.apk
2024-10-25 22:48
5.0K
py3-asif-pyc-0.3.2-r3.apk
2024-10-25 22:48
26K
py3-asif-0.3.2-r3.apk
2024-10-25 22:48
13K
py3-arcus-5.3.0-r1.apk
2024-10-25 22:48
87K
py3-apio-pyc-0.9.5-r0.apk
2024-10-25 22:48
77K
py3-apio-0.9.5-r0.apk
2024-10-25 22:48
72K
py3-apicula-pyc-0.11.1-r1.apk
2024-10-25 22:48
178K
py3-apicula-0.11.1-r1.apk
2024-10-25 22:48
8.5M
py3-anyascii-pyc-0.3.2-r1.apk
2024-10-25 22:48
3.3K
py3-anyascii-0.3.2-r1.apk
2024-10-25 22:48
275K
py3-ansi2html-pyc-1.9.2-r0.apk
2024-10-25 22:48
22K
py3-ansi2html-1.9.2-r0.apk
2024-10-25 22:48
18K
py3-altgraph-pyc-0.17.4-r1.apk
2024-10-25 22:48
29K
py3-altgraph-0.17.4-r1.apk
2024-10-25 22:48
21K
py3-allfiles-pyc-1.0-r8.apk
2024-10-25 22:48
3.2K
py3-allfiles-1.0-r8.apk
2024-10-25 22:48
3.6K
py3-aioxmpp-pyc-0.13.3-r3.apk
2024-10-25 22:48
673K
py3-aioxmpp-doc-0.13.3-r3.apk
2024-10-25 22:48
18K
py3-aioxmpp-0.13.3-r3.apk
2024-10-25 22:48
388K
py3-aiowinreg-pyc-0.0.12-r0.apk
2024-10-25 22:48
45K
py3-aiowinreg-0.0.12-r0.apk
2024-10-25 22:48
23K
py3-aiosmb-pyc-0.4.11-r0.apk
2024-10-25 22:48
1.1M
py3-aiosmb-0.4.11-r0.apk
2024-10-25 22:48
605K
py3-aiosasl-pyc-0.5.0-r4.apk
2024-10-25 22:48
24K
py3-aiosasl-doc-0.5.0-r4.apk
2024-10-25 22:48
16K
py3-aiosasl-0.5.0-r4.apk
2024-10-25 22:48
30K
py3-aioopenssl-pyc-0.6.0-r4.apk
2024-10-25 22:48
19K
py3-aioopenssl-0.6.0-r4.apk
2024-10-25 22:48
21K
py3-aiohttp-session-pyc-2.12.1-r0.apk
2024-10-25 22:48
15K
py3-aiohttp-session-2.12.1-r0.apk
2024-10-25 22:48
10K
py3-aiohttp-jinja2-pyc-1.6-r2.apk
2024-10-25 22:48
9.2K
py3-aiohttp-jinja2-1.6-r2.apk
2024-10-25 22:48
12K
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk
2024-10-25 22:48
51K
py3-aiohttp-debugtoolbar-0.6.1-r2.apk
2024-10-25 22:48
446K
py3-aiodocker-pyc-0.21.0-r1.apk
2024-10-25 22:48
60K
py3-aiodocker-0.21.0-r1.apk
2024-10-25 22:48
29K
py3-agithub-pyc-2.2.2-r6.apk
2024-10-25 22:48
22K
py3-agithub-2.2.2-r6.apk
2024-10-25 22:48
19K
py3-aesedb-pyc-0.1.6-r2.apk
2024-10-25 22:48
76K
py3-aesedb-0.1.6-r2.apk
2024-10-25 22:48
37K
py3-actdiag-pyc-3.0.0-r5.apk
2024-10-25 22:48
21K
py3-actdiag-3.0.0-r5.apk
2024-10-25 22:48
17K
pxmenu-1.0.0-r1.apk
2024-10-25 22:48
2.8K
pxalarm-3.0.0-r0.apk
2024-10-25 22:48
2.9K
pwauth-doc-2.3.11-r2.apk
2024-10-25 22:48
6.8K
pwauth-2.3.11-r2.apk
2024-10-25 22:48
3.7K
purple-hangouts-0_git20200422-r0.apk
2024-10-25 22:48
232K
purple-facebook-0.9.6-r0.apk
2024-10-25 22:48
76K
pulseview-doc-0.4.2-r8.apk
2024-10-25 22:48
3.7K
pulseview-0.4.2-r8.apk
2024-10-25 22:48
946K
pulsar-client-cpp-dev-3.1.2-r4.apk
2024-10-25 22:48
55K
pulsar-client-cpp-3.1.2-r4.apk
2024-10-25 22:48
1.3M
pully-openrc-1.0.0-r0.apk
2024-10-25 22:48
1.9K
pully-1.0.0-r0.apk
2024-10-25 22:48
2.8K
ptylie-doc-0.2-r1.apk
2024-10-25 22:48
3.1K
ptylie-0.2-r1.apk
2024-10-25 22:48
12K
ptpd-openrc-2.3.1-r1.apk
2024-10-25 22:48
2.4K
ptpd-doc-2.3.1-r1.apk
2024-10-25 22:48
20K
ptpd-2.3.1-r1.apk
2024-10-25 22:48
178K
psst-0_git20240526-r1.apk
2024-10-25 22:48
7.5M
pspp-doc-2.0.1-r0.apk
2024-10-25 22:48
9.0K
pspp-dbg-2.0.1-r0.apk
2024-10-25 22:48
4.4M
pspp-2.0.1-r0.apk
2024-10-25 22:48
17M
psi-notify-1.3.1-r0.apk
2024-10-25 22:48
10K
psftools-doc-1.1.2-r0.apk
2024-10-25 22:48
60K
psftools-dev-1.1.2-r0.apk
2024-10-25 22:48
89K
psftools-1.1.2-r0.apk
2024-10-25 22:48
260K
protoconf-0.1.7-r8.apk
2024-10-25 22:48
7.1M
prosody-modules-0.11_hg20201208-r0.apk
2024-10-25 22:48
1.7K
prosody-mod-webpresence-0.11_hg20201208-r0.apk
2024-10-25 22:48
2.9K
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk
2024-10-25 22:48
2.9K
prosody-mod-support_contact-0.11_hg20201208-r0.apk
2024-10-25 22:48
2.2K
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk
2024-10-25 22:48
2.3K
prosody-mod-smacks-0.11_hg20201208-r0.apk
2024-10-25 22:48
8.8K
prosody-mod-server_status-0.11_hg20201208-r0.apk
2024-10-25 22:48
3.0K
prosody-mod-saslname-0.11_hg20201208-r0.apk
2024-10-25 22:48
1.8K
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk
2024-10-25 22:48
2.2K
prosody-mod-require_otr-0.11_hg20201208-r0.apk
2024-10-25 22:48
1.9K
prosody-mod-reload_modules-0.11_hg20201208-r0.apk
2024-10-25 22:48
2.2K
prosody-mod-register_redirect-0.11_hg20201208-r0.apk
2024-10-25 22:48
2.9K
prosody-mod-register_json-0.11_hg20201208-r0.apk
2024-10-25 22:48
104K
prosody-mod-pastebin-0.11_hg20201208-r0.apk
2024-10-25 22:48
3.9K
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk
2024-10-25 22:48
7.1K
prosody-mod-mam_muc-0.11_hg20201208-r0.apk
2024-10-25 22:48
5.8K
prosody-mod-mam-0.11_hg20201208-r0.apk
2024-10-25 22:48
6.1K
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk
2024-10-25 22:48
2.4K
prosody-mod-log_auth-0.11_hg20201208-r0.apk
2024-10-25 22:48
2.0K
prosody-mod-ipcheck-0.11_hg20201208-r0.apk
2024-10-25 22:48
2.2K
prosody-mod-http_upload_external-0.11_hg20201208-r0.apk
2024-10-25 22:48
3.1K
prosody-mod-host_guard-0.11_hg20201208-r0.apk
2024-10-25 22:48
3.1K
prosody-mod-conversejs-0.11_hg20201208-r0.apk
2024-10-25 22:48
3.5K
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk
2024-10-25 22:48
7.4K
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk
2024-10-25 22:48
2.2K
prosody-mod-broadcast-0.11_hg20201208-r0.apk
2024-10-25 22:48
2.1K
prosody-mod-bookmarks-0.11_hg20201208-r0.apk
2024-10-25 22:48
3.3K
prosody-mod-block_registrations-0.11_hg20201208-r0.apk
2024-10-25 22:48
2.0K
prosody-mod-auth_sql-0.11_hg20201208-r0.apk
2024-10-25 22:48
2.9K
prosody-mod-auth_pam-0.11_hg20201208-r0.apk
2024-10-25 22:48
2.0K
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk
2024-10-25 22:48
3.0K
prometheus-unbound-exporter-openrc-0.4.6-r0.apk
2024-10-25 22:48
2.0K
prometheus-unbound-exporter-0.4.6-r0.apk
2024-10-25 22:48
3.3M
prometheus-smokeping-prober-openrc-0.7.1-r7.apk
2024-10-25 22:48
2.0K
prometheus-smokeping-prober-0.7.1-r7.apk
2024-10-25 22:48
4.2M
prometheus-rethinkdb-exporter-openrc-1.0.1-r23.apk
2024-10-25 22:48
1.7K
prometheus-rethinkdb-exporter-1.0.1-r23.apk
2024-10-25 22:48
3.8M
prometheus-opnsense-exporter-openrc-0.0.5-r0.apk
2024-10-25 22:48
2.1K
prometheus-opnsense-exporter-0.0.5-r0.apk
2024-10-25 22:48
4.1M
prometheus-ipmi-exporter-openrc-1.8.0-r0.apk
2024-10-25 22:48
2.0K
prometheus-ipmi-exporter-doc-1.8.0-r0.apk
2024-10-25 22:48
6.6K
prometheus-ipmi-exporter-1.8.0-r0.apk
2024-10-25 22:48
3.9M
prometheus-ceph-exporter-openrc-4.2.4-r1.apk
2024-10-25 22:48
1.8K
prometheus-ceph-exporter-4.2.4-r1.apk
2024-10-25 22:48
3.3M
prometheus-bind-exporter-openrc-0.7.0-r6.apk
2024-10-25 22:48
1.9K
prometheus-bind-exporter-0.7.0-r6.apk
2024-10-25 22:48
4.2M
projectsandcastle-loader-0_git20200307-r1.apk
2024-10-25 22:48
5.0K
projectm-sdl-3.1.12-r2.apk
2024-10-25 22:48
326K
projectm-pulseaudio-doc-3.1.12-r2.apk
2024-10-25 22:48
2.0K
projectm-pulseaudio-3.1.12-r2.apk
2024-10-25 22:48
413K
projectm-presets-3.1.12-r2.apk
2024-10-25 22:48
4.3M
projectm-dev-3.1.12-r2.apk
2024-10-25 22:48
1.0M
projectm-3.1.12-r2.apk
2024-10-25 22:48
442K
prjtrellis-db-machxo3d-0_git20230929-r0.apk
2024-10-25 22:48
748K
prjtrellis-db-machxo3-0_git20230929-r0.apk
2024-10-25 22:48
1.1M
prjtrellis-db-machxo2-0_git20230929-r0.apk
2024-10-25 22:48
1.0M
prjtrellis-db-machxo-0_git20230929-r0.apk
2024-10-25 22:48
39K
prjtrellis-db-ecp5-0_git20230929-r0.apk
2024-10-25 22:48
2.1M
prjtrellis-db-0_git20230929-r0.apk
2024-10-25 22:48
3.3K
prjtrellis-1.4-r2.apk
2024-10-25 22:48
1.2M
primecount-libs-7.14-r0.apk
2024-10-25 22:48
139K
primecount-doc-7.14-r0.apk
2024-10-25 22:48
3.9K
primecount-dev-7.14-r0.apk
2024-10-25 22:48
4.2M
primecount-7.14-r0.apk
2024-10-25 22:48
30K
pqiv-doc-2.12-r1.apk
2024-10-25 22:48
12K
pqiv-2.12-r1.apk
2024-10-25 22:48
64K
pptpclient-doc-1.10.0-r5.apk
2024-10-25 22:48
7.2K
pptpclient-1.10.0-r5.apk
2024-10-25 22:48
33K
ppl-doc-1.2-r1.apk
2024-10-25 22:48
9.1M
ppl-dev-1.2-r1.apk
2024-10-25 22:48
614K
ppl-1.2-r1.apk
2024-10-25 22:48
38K
powerstat-doc-0.04.01-r0.apk
2024-10-25 22:48
4.2K
powerstat-bash-completion-0.04.01-r0.apk
2024-10-25 22:48
2.3K
powerstat-0.04.01-r0.apk
2024-10-25 22:48
19K
pounce-openrc-3.1-r3.apk
2024-10-25 22:48
2.8K
pounce-doc-3.1-r3.apk
2024-10-25 22:48
8.5K
pounce-3.1-r3.apk
2024-10-25 22:48
30K
postgresql16-wal2json-2.6-r0.apk
2024-10-25 22:48
69K
postgresql-pg_variables-bitcode-1.2.5_git20230922-r0.apk
2024-10-25 22:48
54K
postgresql-pg_variables-1.2.5_git20230922-r0.apk
2024-10-25 22:48
24K
postgresql-pg_partman-scripts-5.0.0-r0.apk
2024-10-25 22:48
7.7K
postgresql-pg_partman-doc-5.0.0-r0.apk
2024-10-25 22:48
47K
postgresql-pg_partman-bitcode-5.0.0-r0.apk
2024-10-25 22:48
22K
postgresql-pg_partman-5.0.0-r0.apk
2024-10-25 22:48
971K
postgresql-hll-bitcode-2.18-r0.apk
2024-10-25 22:48
55K
postgresql-hll-2.18-r0.apk
2024-10-25 22:48
27K
portsmf-dev-239-r1.apk
2024-10-25 22:48
20K
portsmf-239-r1.apk
2024-10-25 22:48
57K
pongoos-loader-0_git20210704-r1.apk
2024-10-25 22:48
2.3K
pomo-doc-0.8.1-r18.apk
2024-10-25 22:48
2.7K
pomo-0.8.1-r18.apk
2024-10-25 22:48
1.5M
polyglot-doc-2.0.4-r1.apk
2024-10-25 22:48
48K
polyglot-2.0.4-r1.apk
2024-10-25 22:48
66K
policycoreutils-lang-3.6-r1.apk
2024-10-25 22:48
105K
policycoreutils-doc-3.6-r1.apk
2024-10-25 22:48
22K
policycoreutils-bash-completion-3.6-r1.apk
2024-10-25 22:48
2.4K
policycoreutils-3.6-r1.apk
2024-10-25 22:48
56K
pokoy-doc-0.2.5-r0.apk
2024-10-25 22:48
3.0K
pokoy-0.2.5-r0.apk
2024-10-25 22:48
11K
poke-doc-4.2-r0.apk
2024-10-25 22:48
201K
poke-4.2-r0.apk
2024-10-25 22:48
1.4M
pnmixer-lang-0.7.2-r3.apk
2024-10-25 22:48
25K
pnmixer-doc-0.7.2-r3.apk
2024-10-25 22:48
2.3K
pnmixer-0.7.2-r3.apk
2024-10-25 22:48
141K
pmccabe-doc-2.8-r1.apk
2024-10-25 22:48
7.1K
pmccabe-2.8-r1.apk
2024-10-25 22:48
26K
plzip-doc-1.11-r1.apk
2024-10-25 22:48
16K
plzip-1.11-r1.apk
2024-10-25 22:48
45K
plplot-libs-5.15.0-r2.apk
2024-10-25 22:48
199K
plplot-doc-5.15.0-r2.apk
2024-10-25 22:48
311K
plplot-dev-5.15.0-r2.apk
2024-10-25 22:48
59K
plplot-5.15.0-r2.apk
2024-10-25 22:48
31K
plib-1.8.5-r3.apk
2024-10-25 22:48
1.3M
platformio-core-pyc-6.1.7-r3.apk
2024-10-25 22:48
550K
platformio-core-6.1.7-r3.apk
2024-10-25 22:48
263K
planarity-libs-3.0.2.0-r2.apk
2024-10-25 22:48
74K
planarity-doc-3.0.2.0-r2.apk
2024-10-25 22:48
13K
planarity-dev-3.0.2.0-r2.apk
2024-10-25 22:48
19K
planarity-3.0.2.0-r2.apk
2024-10-25 22:48
9.2K
pixiewps-doc-1.4.2-r1.apk
2024-10-25 22:48
3.4K
pixiewps-1.4.2-r1.apk
2024-10-25 22:48
56K
pithos-pyc-1.6.1-r0.apk
2024-10-25 22:48
147K
pithos-doc-1.6.1-r0.apk
2024-10-25 22:48
2.1K
pithos-1.6.1-r0.apk
2024-10-25 22:48
104K
pipectl-doc-0.4.1-r1.apk
2024-10-25 22:48
2.9K
pipectl-0.4.1-r1.apk
2024-10-25 22:48
5.9K
pinentry-bemenu-0.13.1-r0.apk
2024-10-25 22:48
8.9K
pimd-openrc-3.0_git20220201-r0.apk
2024-10-25 22:48
1.8K
pimd-doc-3.0_git20220201-r0.apk
2024-10-25 22:48
35K
pimd-dense-openrc-2.1.0-r0.apk
2024-10-25 22:48
1.8K
pimd-dense-doc-2.1.0-r0.apk
2024-10-25 22:48
20K
pimd-dense-2.1.0-r0.apk
2024-10-25 22:48
53K
pimd-3.0_git20220201-r0.apk
2024-10-25 22:48
85K
pict-rs-openrc-0.5.16-r1.apk
2024-10-25 22:48
1.9K
pict-rs-0.5.16-r1.apk
2024-10-25 22:48
6.2M
pick-doc-4.0.0-r0.apk
2024-10-25 22:48
3.3K
pick-4.0.0-r0.apk
2024-10-25 22:48
9.9K
phpactor-2024.06.30.0-r0.apk
2024-10-25 22:48
3.8M
php84-pecl-oauth-2.0.9-r0.apk
2024-10-25 22:48
37K
php84-pecl-ev-1.2.0-r1.apk
2024-10-25 22:48
43K
php83-pecl-zmq-1.1.4-r0.apk
2024-10-25 22:48
32K
php83-pecl-vld-0.18.0-r1.apk
2024-10-25 22:48
16K
php83-pecl-uv-0.3.0-r0.apk
2024-10-25 22:48
54K
php83-pecl-phpy-1.0.8-r0.apk
2024-10-25 22:48
41K
php83-pecl-oauth-2.0.9-r0.apk
2024-10-25 22:48
37K
php83-pecl-jsmin-3.0.0-r0.apk
2024-10-25 22:48
11K
php83-pecl-ev-1.2.0-r0.apk
2024-10-25 22:48
43K
php83-pecl-eio-3.1.3-r0.apk
2024-10-25 22:48
30K
php83-pecl-apfd-1.0.3-r0.apk
2024-10-25 22:48
4.5K
php82-snappy-0.2.1-r1.apk
2024-10-25 22:48
5.3K
php82-pecl-vld-0.18.0-r0.apk
2024-10-25 22:48
16K
php82-pecl-teds-1.3.0-r0.apk
2024-10-25 22:48
133K
php82-pecl-runkit7-4.0.0_alpha6-r1.apk
2024-10-25 22:48
31K
php82-pecl-oauth-2.0.9-r0.apk
2024-10-25 22:48
37K
php82-pecl-immutable_cache-6.1.0-r0.apk
2024-10-25 22:48
40K
php82-pecl-apfd-1.0.3-r0.apk
2024-10-25 22:48
4.5K
php82-pdlib-1.1.0-r1.apk
2024-10-25 22:48
495K
php81-tideways_xhprof-5.0.4-r1.apk
2024-10-25 22:48
14K
php81-pecl-yaml-2.2.4-r0.apk
2024-10-25 22:48
19K
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk
2024-10-25 22:48
36K
php81-pecl-xhprof-assets-2.3.10-r0.apk
2024-10-25 22:48
801K
php81-pecl-xhprof-2.3.10-r0.apk
2024-10-25 22:48
13K
php81-pecl-vips-1.0.13-r0.apk
2024-10-25 22:48
17K
php81-pecl-uuid-1.2.1-r0.apk
2024-10-25 22:48
6.7K
php81-pecl-uploadprogress-doc-2.0.2-r1.apk
2024-10-25 22:48
9.9K
php81-pecl-uploadprogress-2.0.2-r1.apk
2024-10-25 22:48
6.6K
php81-pecl-timezonedb-2024.2-r0.apk
2024-10-25 22:48
192K
php81-pecl-ssh2-1.4.1-r0.apk
2024-10-25 22:48
29K
php81-pecl-redis-6.1.0-r0.apk
2024-10-25 22:48
212K
php81-pecl-psr-1.2.0-r0.apk
2024-10-25 22:48
19K
php81-pecl-opentelemetry-1.1.0-r0.apk
2024-10-25 22:48
13K
php81-pecl-oauth-2.0.9-r0.apk
2024-10-25 22:48
36K
php81-pecl-msgpack-3.0.0-r0.apk
2024-10-25 22:48
28K
php81-pecl-memprof-3.0.2-r0.apk
2024-10-25 22:48
14K
php81-pecl-memcached-3.3.0-r0.apk
2024-10-25 22:48
48K
php81-pecl-memcache-8.2-r1.apk
2024-10-25 22:48
45K
php81-pecl-mcrypt-1.0.7-r0.apk
2024-10-25 22:48
15K
php81-pecl-mailparse-3.1.8-r0.apk
2024-10-25 22:48
24K
php81-pecl-lzf-1.7.0-r0.apk
2024-10-25 22:48
7.5K
php81-pecl-luasandbox-4.1.2-r0.apk
2024-10-25 22:48
31K
php81-pecl-jsmin-3.0.0-r0.apk
2024-10-25 22:48
11K
php81-pecl-immutable_cache-6.1.0-r0.apk
2024-10-25 22:48
40K
php81-pecl-imagick-dev-3.7.0-r5.apk
2024-10-25 22:48
2.3K
php81-pecl-imagick-3.7.0-r5.apk
2024-10-25 22:48
115K
php81-pecl-igbinary-3.2.16-r0.apk
2024-10-25 22:48
35K
php81-pecl-event-3.1.4-r0.apk
2024-10-25 22:48
52K
php81-pecl-ds-1.5.0-r0.apk
2024-10-25 22:48
63K
php81-pecl-decimal-1.5.0-r1.apk
2024-10-25 22:48
19K
php81-pecl-csv-0.4.2-r0.apk
2024-10-25 22:48
10K
php81-pecl-ast-1.1.2-r0.apk
2024-10-25 22:48
21K
php81-pecl-apcu-5.1.24-r0.apk
2024-10-25 22:48
56K
php81-pecl-amqp-2.1.2-r0.apk
2024-10-25 22:48
59K
phoronix-test-suite-doc-10.8.4-r2.apk
2024-10-25 22:48
287K
phoronix-test-suite-bash-completion-10.8.4-r2.apk
2024-10-25 22:48
1.8K
phoronix-test-suite-10.8.4-r2.apk
2024-10-25 22:48
3.9M
persistent-cache-cpp-doc-1.0.7-r2.apk
2024-10-25 22:48
3.2K
persistent-cache-cpp-dev-1.0.7-r2.apk
2024-10-25 22:48
18K
persistent-cache-cpp-1.0.7-r2.apk
2024-10-25 22:48
45K
perl-xml-stream-doc-1.24-r0.apk
2024-10-25 22:48
18K
perl-xml-stream-1.24-r0.apk
2024-10-25 22:48
44K
perl-xml-rpc-doc-2.1-r0.apk
2024-10-25 22:48
4.9K
perl-xml-rpc-2.1-r0.apk
2024-10-25 22:48
5.7K
perl-xml-parser-style-easytree-doc-0.09-r0.apk
2024-10-25 22:48
5.4K
perl-xml-parser-style-easytree-0.09-r0.apk
2024-10-25 22:48
5.0K
perl-xml-feed-doc-0.65-r0.apk
2024-10-25 22:48
12K
perl-xml-feed-0.65-r0.apk
2024-10-25 22:48
14K
perl-xml-bare-doc-0.53-r13.apk
2024-10-25 22:48
11K
perl-xml-bare-0.53-r13.apk
2024-10-25 22:48
29K
perl-xml-atom-doc-0.43-r0.apk
2024-10-25 22:48
16K
perl-xml-atom-0.43-r0.apk
2024-10-25 22:48
20K
perl-x-tiny-doc-0.22-r0.apk
2024-10-25 22:48
7.6K
perl-x-tiny-0.22-r0.apk
2024-10-25 22:48
6.8K
perl-variable-disposition-doc-0.005-r0.apk
2024-10-25 22:48
5.6K
perl-variable-disposition-0.005-r0.apk
2024-10-25 22:48
3.2K
perl-url-encode-doc-0.03-r4.apk
2024-10-25 22:48
4.7K
perl-url-encode-0.03-r4.apk
2024-10-25 22:48
5.1K
perl-uri-tcp-doc-2.0.0-r0.apk
2024-10-25 22:48
4.9K
perl-uri-tcp-2.0.0-r0.apk
2024-10-25 22:48
2.7K
perl-uri-redis-doc-0.02-r0.apk
2024-10-25 22:48
4.6K
perl-uri-redis-0.02-r0.apk
2024-10-25 22:48
3.2K
perl-uri-nested-doc-0.10-r0.apk
2024-10-25 22:48
3.9K
perl-uri-nested-0.10-r0.apk
2024-10-25 22:48
4.0K
perl-uri-fetch-doc-0.15-r0.apk
2024-10-25 22:48
7.5K
perl-uri-fetch-0.15-r0.apk
2024-10-25 22:48
7.0K
perl-types-path-tiny-doc-0.006-r0.apk
2024-10-25 22:48
4.1K
perl-types-path-tiny-0.006-r0.apk
2024-10-25 22:48
3.9K
perl-time-timegm-doc-0.01-r9.apk
2024-10-25 22:48
3.8K
perl-time-timegm-0.01-r9.apk
2024-10-25 22:48
6.7K
perl-time-moment-role-timezone-doc-1.000-r0.apk
2024-10-25 22:48
4.0K
perl-time-moment-role-timezone-1.000-r0.apk
2024-10-25 22:48
3.6K
perl-time-moment-role-strptime-doc-0.001-r0.apk
2024-10-25 22:48
3.4K
perl-time-moment-role-strptime-0.001-r0.apk
2024-10-25 22:48
2.8K
perl-time-moment-doc-0.44-r0.apk
2024-10-25 22:48
31K
perl-time-moment-0.44-r0.apk
2024-10-25 22:48
40K
perl-tickit-widget-scrollbox-doc-0.12-r0.apk
2024-10-25 22:48
6.5K
perl-tickit-widget-scrollbox-0.12-r0.apk
2024-10-25 22:48
8.0K
perl-tickit-widget-menu-doc-0.16-r0.apk
2024-10-25 22:48
6.9K
perl-tickit-widget-menu-0.16-r0.apk
2024-10-25 22:48
7.2K
perl-tickit-widget-floatbox-doc-0.11-r0.apk
2024-10-25 22:48
4.1K
perl-tickit-widget-floatbox-0.11-r0.apk
2024-10-25 22:48
4.7K
perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk
2024-10-25 22:48
3.8K
perl-tickit-widget-entry-plugin-completion-0.02-r0.apk
2024-10-25 22:48
4.6K
perl-tickit-widget-choice-doc-0.07-r0.apk
2024-10-25 22:48
3.4K
perl-tickit-widget-choice-0.07-r0.apk
2024-10-25 22:48
3.9K
perl-throwable-doc-1.001-r1.apk
2024-10-25 22:48
8.0K
perl-throwable-1.001-r1.apk
2024-10-25 22:48
6.2K
perl-text-table-sprintf-doc-0.008-r0.apk
2024-10-25 22:48
5.2K
perl-text-table-sprintf-0.008-r0.apk
2024-10-25 22:48
5.3K
perl-text-table-any-doc-0.117-r0.apk
2024-10-25 22:48
6.6K
perl-text-table-any-0.117-r0.apk
2024-10-25 22:48
8.1K
perl-text-brew-doc-0.02-r5.apk
2024-10-25 22:48
4.1K
perl-text-brew-0.02-r5.apk
2024-10-25 22:48
4.5K
perl-test2-tools-explain-doc-0.02-r0.apk
2024-10-25 22:48
4.4K
perl-test2-tools-explain-0.02-r0.apk
2024-10-25 22:48
3.8K
perl-test-useallmodules-doc-0.17-r1.apk
2024-10-25 22:48
3.8K
perl-test-useallmodules-0.17-r1.apk
2024-10-25 22:48
3.8K
perl-test-unit-doc-0.27-r0.apk
2024-10-25 22:48
48K
perl-test-unit-0.27-r0.apk
2024-10-25 22:48
37K
perl-test-trap-doc-0.3.5-r1.apk
2024-10-25 22:48
20K
perl-test-trap-0.3.5-r1.apk
2024-10-25 22:48
20K
perl-test-toolbox-doc-0.4-r5.apk
2024-10-25 22:48
6.2K
perl-test-toolbox-0.4-r5.apk
2024-10-25 22:48
9.8K
perl-test-timer-doc-2.12-r2.apk
2024-10-25 22:48
8.4K
perl-test-timer-2.12-r2.apk
2024-10-25 22:48
8.9K
perl-test-settings-doc-0.003-r0.apk
2024-10-25 22:48
6.0K
perl-test-settings-0.003-r0.apk
2024-10-25 22:48
4.9K
perl-test-roo-doc-1.004-r3.apk
2024-10-25 22:48
15K
perl-test-roo-1.004-r3.apk
2024-10-25 22:48
12K
perl-test-requires-git-doc-1.008-r0.apk
2024-10-25 22:48
4.4K
perl-test-requires-git-1.008-r0.apk
2024-10-25 22:48
4.8K
perl-test-redisserver-doc-0.23-r0.apk
2024-10-25 22:48
4.1K
perl-test-redisserver-0.23-r0.apk
2024-10-25 22:48
5.0K
perl-test-randomresult-doc-0.001-r0.apk
2024-10-25 22:48
3.7K
perl-test-randomresult-0.001-r0.apk
2024-10-25 22:48
3.5K
perl-test-modern-doc-0.013-r3.apk
2024-10-25 22:48
9.8K
perl-test-modern-0.013-r3.apk
2024-10-25 22:48
15K
perl-test-memorygrowth-doc-0.05-r0.apk
2024-10-25 22:48
5.3K
perl-test-memorygrowth-0.05-r0.apk
2024-10-25 22:48
6.4K
perl-test-lwp-useragent-doc-0.036-r0.apk
2024-10-25 22:48
8.3K
perl-test-lwp-useragent-0.036-r0.apk
2024-10-25 22:48
9.8K
perl-test-files-doc-0.26-r0.apk
2024-10-25 22:48
15K
perl-test-files-0.26-r0.apk
2024-10-25 22:48
6.7K
perl-test-file-doc-1.993-r1.apk
2024-10-25 22:48
6.8K
perl-test-file-1.993-r1.apk
2024-10-25 22:48
12K
perl-test-expander-doc-2.5.1-r0.apk
2024-10-25 22:48
20K
perl-test-expander-2.5.1-r0.apk
2024-10-25 22:48
7.1K
perl-test-distribution-doc-2.00-r1.apk
2024-10-25 22:48
6.1K
perl-test-distribution-2.00-r1.apk
2024-10-25 22:48
7.7K
perl-test-describeme-doc-0.004-r0.apk
2024-10-25 22:48
4.2K
perl-test-describeme-0.004-r0.apk
2024-10-25 22:48
3.6K
perl-test-class-tiny-doc-0.03-r0.apk
2024-10-25 22:48
5.4K
perl-test-class-tiny-0.03-r0.apk
2024-10-25 22:48
5.9K
perl-test-api-doc-0.010-r2.apk
2024-10-25 22:48
4.2K
perl-test-api-0.010-r2.apk
2024-10-25 22:48
5.1K
perl-term-ui-doc-0.50-r1.apk
2024-10-25 22:48
8.5K
perl-term-ui-0.50-r1.apk
2024-10-25 22:48
10K
perl-term-size-doc-0.211-r4.apk
2024-10-25 22:48
3.7K
perl-term-size-0.211-r4.apk
2024-10-25 22:48
5.7K
perl-template-plugin-number-format-doc-1.06-r4.apk
2024-10-25 22:48
4.4K
perl-template-plugin-number-format-1.06-r4.apk
2024-10-25 22:48
4.9K
perl-template-plugin-csv-doc-0.04-r3.apk
2024-10-25 22:48
3.0K
perl-template-plugin-csv-0.04-r3.apk
2024-10-25 22:48
2.7K
perl-system-command-doc-1.122-r0.apk
2024-10-25 22:48
10K
perl-system-command-1.122-r0.apk
2024-10-25 22:48
12K
perl-sys-virt-doc-10.6.0-r0.apk
2024-10-25 22:48
99K
perl-sys-virt-10.6.0-r0.apk
2024-10-25 22:48
201K
perl-sys-syscall-doc-0.25-r10.apk
2024-10-25 22:48
3.7K
perl-sys-syscall-0.25-r10.apk
2024-10-25 22:48
5.3K
perl-syntax-operator-in-doc-0.10-r0.apk
2024-10-25 22:48
5.8K
perl-syntax-operator-in-0.10-r0.apk
2024-10-25 22:48
9.7K
perl-syntax-operator-equ-doc-0.10-r0.apk
2024-10-25 22:48
6.5K
perl-syntax-operator-equ-0.10-r0.apk
2024-10-25 22:48
8.0K
perl-syntax-keyword-match-doc-0.15-r0.apk
2024-10-25 22:48
7.8K
perl-syntax-keyword-match-0.15-r0.apk
2024-10-25 22:48
14K
perl-string-random-doc-0.32-r2.apk
2024-10-25 22:48
6.2K
perl-string-random-0.32-r2.apk
2024-10-25 22:48
7.9K
perl-string-crc32-doc-2.100-r4.apk
2024-10-25 22:48
3.4K
perl-string-crc32-2.100-r4.apk
2024-10-25 22:48
7.0K
perl-string-compare-constanttime-doc-0.321-r6.apk
2024-10-25 22:48
5.2K
perl-string-compare-constanttime-0.321-r6.apk
2024-10-25 22:48
7.2K
perl-string-camelcase-doc-0.04-r2.apk
2024-10-25 22:48
3.4K
perl-string-camelcase-0.04-r2.apk
2024-10-25 22:48
3.2K
perl-storable-improved-doc-0.1.3-r0.apk
2024-10-25 22:48
6.9K
perl-storable-improved-0.1.3-r0.apk
2024-10-25 22:48
6.7K
perl-statistics-descriptive-doc-3.0801-r0.apk
2024-10-25 22:48
37K
perl-statistics-descriptive-3.0801-r0.apk
2024-10-25 22:48
30K
perl-statistics-basic-doc-1.6611-r0.apk
2024-10-25 22:48
50K
perl-statistics-basic-1.6611-r0.apk
2024-10-25 22:48
9.2K
perl-starman-doc-0.4017-r0.apk
2024-10-25 22:48
10K
perl-starman-0.4017-r0.apk
2024-10-25 22:48
13K
perl-sql-abstract-classic-doc-1.91-r1.apk
2024-10-25 22:48
20K
perl-sql-abstract-classic-1.91-r1.apk
2024-10-25 22:48
29K
perl-sort-versions-doc-1.62-r0.apk
2024-10-25 22:48
4.1K
perl-sort-versions-1.62-r0.apk
2024-10-25 22:48
3.7K
perl-sort-naturally-doc-1.03-r4.apk
2024-10-25 22:48
5.4K
perl-sort-naturally-1.03-r4.apk
2024-10-25 22:48
8.7K
perl-soap-lite-doc-1.27-r5.apk
2024-10-25 22:48
90K
perl-soap-lite-1.27-r5.apk
2024-10-25 22:48
110K
perl-snmp-doc-5.0404-r13.apk
2024-10-25 22:48
14K
perl-snmp-5.0404-r13.apk
2024-10-25 22:48
70K
perl-signature-attribute-checked-doc-0.06-r0.apk
2024-10-25 22:48
4.6K
perl-signature-attribute-checked-0.06-r0.apk
2024-10-25 22:48
7.7K
perl-session-storage-secure-doc-1.000-r2.apk
2024-10-25 22:48
7.4K
perl-session-storage-secure-1.000-r2.apk
2024-10-25 22:48
8.8K
perl-sentinel-doc-0.07-r1.apk
2024-10-25 22:48
4.2K
perl-sentinel-0.07-r1.apk
2024-10-25 22:48
7.4K
perl-scalar-readonly-doc-0.03-r1.apk
2024-10-25 22:48
3.4K
perl-scalar-readonly-0.03-r1.apk
2024-10-25 22:48
5.3K
perl-ryu-doc-4.001-r0.apk
2024-10-25 22:48
35K
perl-ryu-async-doc-0.020-r0.apk
2024-10-25 22:48
12K
perl-ryu-async-0.020-r0.apk
2024-10-25 22:48
7.5K
perl-ryu-4.001-r0.apk
2024-10-25 22:48
26K
perl-rxperl-mojo-doc-6.8.2-r0.apk
2024-10-25 22:48
9.1K
perl-rxperl-mojo-6.8.2-r0.apk
2024-10-25 22:48
2.8K
perl-rxperl-ioasync-doc-6.9.1-r0.apk
2024-10-25 22:48
9.0K
perl-rxperl-ioasync-6.9.1-r0.apk
2024-10-25 22:48
2.8K
perl-rxperl-doc-6.29.8-r0.apk
2024-10-25 22:48
23K
perl-rxperl-anyevent-doc-6.8.1-r0.apk
2024-10-25 22:48
8.9K
perl-rxperl-anyevent-6.8.1-r0.apk
2024-10-25 22:48
2.7K
perl-rxperl-6.29.8-r0.apk
2024-10-25 22:48
26K
perl-role-eventemitter-doc-0.003-r0.apk
2024-10-25 22:48
3.9K
perl-role-eventemitter-0.003-r0.apk
2024-10-25 22:48
3.7K
perl-regexp-grammars-doc-1.058-r0.apk
2024-10-25 22:48
48K
perl-regexp-grammars-1.058-r0.apk
2024-10-25 22:48
66K
perl-ref-util-xs-doc-0.117-r8.apk
2024-10-25 22:48
3.4K
perl-ref-util-xs-0.117-r8.apk
2024-10-25 22:48
9.9K
perl-protocol-redis-faster-doc-0.003-r0.apk
2024-10-25 22:48
3.3K
perl-protocol-redis-faster-0.003-r0.apk
2024-10-25 22:48
3.4K
perl-protocol-redis-doc-1.0021-r0.apk
2024-10-25 22:48
5.0K
perl-protocol-redis-1.0021-r0.apk
2024-10-25 22:48
5.6K
perl-protocol-database-postgresql-doc-2.001-r0.apk
2024-10-25 22:48
36K
perl-protocol-database-postgresql-2.001-r0.apk
2024-10-25 22:48
19K
perl-promise-xs-doc-0.20-r1.apk
2024-10-25 22:48
8.7K
perl-promise-xs-0.20-r1.apk
2024-10-25 22:48
25K
perl-promise-me-doc-0.5.0-r0.apk
2024-10-25 22:48
12K
perl-promise-me-0.5.0-r0.apk
2024-10-25 22:48
26K
perl-promise-es6-mojo-ioloop-0.28-r0.apk
2024-10-25 22:48
2.6K
perl-promise-es6-io-async-0.28-r0.apk
2024-10-25 22:48
2.9K
perl-promise-es6-future-0.28-r0.apk
2024-10-25 22:48
2.3K
perl-promise-es6-doc-0.28-r0.apk
2024-10-25 22:48
12K
perl-promise-es6-anyevent-0.28-r0.apk
2024-10-25 22:48
2.5K
perl-promise-es6-0.28-r0.apk
2024-10-25 22:48
11K
perl-proc-guard-doc-0.07-r4.apk
2024-10-25 22:48
3.5K
perl-proc-guard-0.07-r4.apk
2024-10-25 22:48
3.7K
perl-ppi-xs-doc-0.910-r1.apk
2024-10-25 22:48
3.4K
perl-ppi-xs-0.910-r1.apk
2024-10-25 22:48
5.8K
perl-pod-tidy-doc-0.10-r1.apk
2024-10-25 22:48
11K
perl-pod-tidy-0.10-r1.apk
2024-10-25 22:48
10K
perl-pod-cpandoc-doc-0.16-r6.apk
2024-10-25 22:48
4.9K
perl-pod-cpandoc-0.16-r6.apk
2024-10-25 22:48
4.5K
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk
2024-10-25 22:48
3.0K
perl-plack-middleware-reverseproxy-0.16-r2.apk
2024-10-25 22:48
3.1K
perl-plack-middleware-expires-doc-0.06-r3.apk
2024-10-25 22:48
3.3K
perl-plack-middleware-expires-0.06-r3.apk
2024-10-25 22:48
3.9K
perl-perlio-locale-doc-0.10-r12.apk
2024-10-25 22:48
3.0K
perl-perlio-locale-0.10-r12.apk
2024-10-25 22:48
4.5K
perl-path-iter-doc-0.2-r3.apk
2024-10-25 22:48
5.1K
perl-path-iter-0.2-r3.apk
2024-10-25 22:48
5.2K
perl-pango-doc-1.227-r11.apk
2024-10-25 22:48
81K
perl-pango-1.227-r11.apk
2024-10-25 22:48
81K
perl-opentracing-doc-1.006-r0.apk
2024-10-25 22:48
33K
perl-opentracing-1.006-r0.apk
2024-10-25 22:48
18K
perl-openapi-client-doc-1.07-r0.apk
2024-10-25 22:48
7.3K
perl-openapi-client-1.07-r0.apk
2024-10-25 22:48
8.6K
perl-object-pad-fieldattr-checked-doc-0.12-r0.apk
2024-10-25 22:48
4.5K
perl-object-pad-fieldattr-checked-0.12-r0.apk
2024-10-25 22:48
8.4K
perl-object-array-doc-0.060-r0.apk
2024-10-25 22:48
7.0K
perl-object-array-0.060-r0.apk
2024-10-25 22:48
5.7K
perl-number-tolerant-doc-1.710-r0.apk
2024-10-25 22:48
26K
perl-number-tolerant-1.710-r0.apk
2024-10-25 22:48
15K
perl-number-misc-doc-1.2-r5.apk
2024-10-25 22:48
4.4K
perl-number-misc-1.2-r5.apk
2024-10-25 22:48
5.2K
perl-number-format-doc-1.76-r1.apk
2024-10-25 22:48
9.0K
perl-number-format-1.76-r1.apk
2024-10-25 22:48
15K
perl-netaddr-mac-doc-0.98-r1.apk
2024-10-25 22:48
8.0K
perl-netaddr-mac-0.98-r1.apk
2024-10-25 22:48
11K
perl-net-xmpp-doc-1.05-r0.apk
2024-10-25 22:48
44K
perl-net-xmpp-1.05-r0.apk
2024-10-25 22:48
58K
perl-net-patricia-doc-1.22-r12.apk
2024-10-25 22:48
6.0K
perl-net-patricia-1.22-r12.apk
2024-10-25 22:48
21K
perl-net-netmask-doc-2.0002-r2.apk
2024-10-25 22:48
8.5K
perl-net-netmask-2.0002-r2.apk
2024-10-25 22:48
14K
perl-net-jabber-doc-2.0-r0.apk
2024-10-25 22:48
49K
perl-net-jabber-bot-doc-2.1.7-r0.apk
2024-10-25 22:48
7.6K
perl-net-jabber-bot-2.1.7-r0.apk
2024-10-25 22:48
13K
perl-net-jabber-2.0-r0.apk
2024-10-25 22:48
51K
perl-net-irr-doc-0.10-r0.apk
2024-10-25 22:48
5.1K
perl-net-irr-0.10-r0.apk
2024-10-25 22:48
5.4K
perl-net-idn-encode-doc-2.500-r1.apk
2024-10-25 22:48
22K
perl-net-idn-encode-2.500-r1.apk
2024-10-25 22:48
84K
perl-net-curl-promiser-mojo-0.20-r0.apk
2024-10-25 22:48
3.1K
perl-net-curl-promiser-ioasync-0.20-r0.apk
2024-10-25 22:48
3.0K
perl-net-curl-promiser-doc-0.20-r0.apk
2024-10-25 22:48
12K
perl-net-curl-promiser-anyevent-0.20-r0.apk
2024-10-25 22:48
2.7K
perl-net-curl-promiser-0.20-r0.apk
2024-10-25 22:48
8.9K
perl-net-curl-doc-0.56-r1.apk
2024-10-25 22:48
39K
perl-net-curl-0.56-r1.apk
2024-10-25 22:48
62K
perl-net-async-redis-xs-doc-1.001-r1.apk
2024-10-25 22:48
5.3K
perl-net-async-redis-xs-1.001-r1.apk
2024-10-25 22:48
9.1K
perl-net-amqp-rabbitmq-doc-2.40012-r0.apk
2024-10-25 22:48
9.7K
perl-net-amqp-rabbitmq-2.40012-r0.apk
2024-10-25 22:48
81K
perl-net-address-ip-local-doc-0.1.2-r0.apk
2024-10-25 22:48
3.5K
perl-net-address-ip-local-0.1.2-r0.apk
2024-10-25 22:48
3.4K
perl-musicbrainz-discid-doc-0.06-r1.apk
2024-10-25 22:48
4.3K
perl-musicbrainz-discid-0.06-r1.apk
2024-10-25 22:48
9.2K
perl-multidimensional-doc-0.014-r0.apk
2024-10-25 22:48
3.1K
perl-multidimensional-0.014-r0.apk
2024-10-25 22:48
4.8K
perl-mojolicious-plugin-openapi-doc-5.09-r0.apk
2024-10-25 22:48
34K
perl-mojolicious-plugin-openapi-5.09-r0.apk
2024-10-25 22:48
29K
perl-mojo-sqlite-doc-3.009-r0.apk
2024-10-25 22:48
19K
perl-mojo-sqlite-3.009-r0.apk
2024-10-25 22:48
16K
perl-mojo-redis-doc-3.29-r0.apk
2024-10-25 22:48
25K
perl-mojo-redis-3.29-r0.apk
2024-10-25 22:48
25K
perl-mojo-reactor-ioasync-doc-1.002-r0.apk
2024-10-25 22:48
4.4K
perl-mojo-reactor-ioasync-1.002-r0.apk
2024-10-25 22:48
4.7K
perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk
2024-10-25 22:48
3.8K
perl-module-build-prereqs-fromcpanfile-0.02-r0.apk
2024-10-25 22:48
3.4K
perl-minion-doc-10.31-r0.apk
2024-10-25 22:48
49K
perl-minion-backend-sqlite-doc-5.0.7-r0.apk
2024-10-25 22:48
6.8K
perl-minion-backend-sqlite-5.0.7-r0.apk
2024-10-25 22:48
10K
perl-minion-backend-redis-doc-0.003-r0.apk
2024-10-25 22:48
6.6K
perl-minion-backend-redis-0.003-r0.apk
2024-10-25 22:48
10K
perl-minion-backend-pg-10.31-r0.apk
2024-10-25 22:48
9.6K
perl-minion-10.31-r0.apk
2024-10-25 22:48
1.5M
perl-math-random-isaac-xs-doc-1.004-r8.apk
2024-10-25 22:48
3.8K
perl-math-random-isaac-xs-1.004-r8.apk
2024-10-25 22:48
7.9K
perl-math-random-doc-0.72-r0.apk
2024-10-25 22:48
11K
perl-math-random-0.72-r0.apk
2024-10-25 22:48
36K
perl-math-libm-doc-1.00-r14.apk
2024-10-25 22:48
3.1K
perl-math-libm-1.00-r14.apk
2024-10-25 22:48
10K
perl-math-int64-doc-0.57-r1.apk
2024-10-25 22:48
10K
perl-math-int64-0.57-r1.apk
2024-10-25 22:48
29K
perl-mastodon-client-doc-0.017-r0.apk
2024-10-25 22:48
33K
perl-mastodon-client-0.017-r0.apk
2024-10-25 22:48
22K
perl-lwp-useragent-cached-doc-0.08-r1.apk
2024-10-25 22:48
5.6K
perl-lwp-useragent-cached-0.08-r1.apk
2024-10-25 22:48
6.4K
perl-lwp-online-doc-1.08-r0.apk
2024-10-25 22:48
5.7K
perl-lwp-online-1.08-r0.apk
2024-10-25 22:48
6.1K
perl-lv-doc-0.006-r0.apk
2024-10-25 22:48
4.0K
perl-lv-backend-sentinel-0.006-r0.apk
2024-10-25 22:48
2.0K
perl-lv-backend-magic-0.006-r0.apk
2024-10-25 22:48
2.1K
perl-lv-0.006-r0.apk
2024-10-25 22:48
4.0K
perl-log-message-simple-doc-0.10-r3.apk
2024-10-25 22:48
3.9K
perl-log-message-simple-0.10-r3.apk
2024-10-25 22:48
4.2K
perl-log-message-doc-0.08-r3.apk
2024-10-25 22:48
12K
perl-log-message-0.08-r3.apk
2024-10-25 22:48
11K
perl-log-fu-doc-0.31-r4.apk
2024-10-25 22:48
7.2K
perl-log-fu-0.31-r4.apk
2024-10-25 22:48
10K
perl-list-keywords-doc-0.11-r0.apk
2024-10-25 22:48
5.5K
perl-list-keywords-0.11-r0.apk
2024-10-25 22:48
15K
perl-list-binarysearch-xs-doc-0.09-r1.apk
2024-10-25 22:48
8.1K
perl-list-binarysearch-xs-0.09-r1.apk
2024-10-25 22:48
13K
perl-list-binarysearch-doc-0.25-r0.apk
2024-10-25 22:48
12K
perl-list-binarysearch-0.25-r0.apk
2024-10-25 22:48
9.9K
perl-linux-pid-doc-0.04-r13.apk
2024-10-25 22:48
3.0K
perl-linux-pid-0.04-r13.apk
2024-10-25 22:48
4.7K
perl-libintl-perl-doc-1.33-r1.apk
2024-10-25 22:48
567K
perl-libintl-perl-1.33-r1.apk
2024-10-25 22:48
316K
perl-libapreq2-doc-2.17-r2.apk
2024-10-25 22:48
37K
perl-libapreq2-dev-2.17-r2.apk
2024-10-25 22:48
81K
perl-libapreq2-2.17-r2.apk
2024-10-25 22:48
103K
perl-lib-abs-doc-0.95-r0.apk
2024-10-25 22:48
4.0K
perl-lib-abs-0.95-r0.apk
2024-10-25 22:48
3.9K
perl-json-validator-doc-5.14-r0.apk
2024-10-25 22:48
33K
perl-json-validator-5.14-r0.apk
2024-10-25 22:48
59K
perl-json-path-doc-1.0.6-r0.apk
2024-10-25 22:48
13K
perl-json-path-1.0.6-r0.apk
2024-10-25 22:48
16K
perl-json-maybeutf8-doc-2.000-r0.apk
2024-10-25 22:48
3.5K
perl-json-maybeutf8-2.000-r0.apk
2024-10-25 22:48
3.1K
perl-io-sessiondata-1.03-r3.apk
2024-10-25 22:48
5.7K
perl-io-lambda-doc-1.34-r0.apk
2024-10-25 22:48
68K
perl-io-lambda-1.34-r0.apk
2024-10-25 22:48
75K
perl-indirect-doc-0.39-r1.apk
2024-10-25 22:48
6.3K
perl-indirect-0.39-r1.apk
2024-10-25 22:48
16K
perl-i18n-langinfo-wide-doc-9-r4.apk
2024-10-25 22:48
4.1K
perl-i18n-langinfo-wide-9-r4.apk
2024-10-25 22:48
4.2K
perl-http-xsheaders-doc-0.400005-r1.apk
2024-10-25 22:48
6.3K
perl-http-xsheaders-0.400005-r1.apk
2024-10-25 22:48
21K
perl-http-thin-doc-0.006-r0.apk
2024-10-25 22:48
3.4K
perl-http-thin-0.006-r0.apk
2024-10-25 22:48
3.1K
perl-html-tableextract-doc-2.15-r4.apk
2024-10-25 22:48
9.9K
perl-html-tableextract-2.15-r4.apk
2024-10-25 22:48
18K
perl-html-selector-xpath-doc-0.28-r0.apk
2024-10-25 22:48
4.1K
perl-html-selector-xpath-0.28-r0.apk
2024-10-25 22:48
6.2K
perl-html-object-doc-0.5.1-r0.apk
2024-10-25 22:48
472K
perl-html-object-0.5.1-r0.apk
2024-10-25 22:48
348K
perl-hash-ordered-doc-0.014-r0.apk
2024-10-25 22:48
19K
perl-hash-ordered-0.014-r0.apk
2024-10-25 22:48
9.8K
perl-guard-doc-1.023-r9.apk
2024-10-25 22:48
5.3K
perl-guard-1.023-r9.apk
2024-10-25 22:48
8.5K
perl-gtk3-doc-0.038-r1.apk
2024-10-25 22:48
9.1K
perl-gtk3-0.038-r1.apk
2024-10-25 22:48
20K
perl-gtk2-ex-widgetbits-doc-48-r3.apk
2024-10-25 22:48
81K
perl-gtk2-ex-widgetbits-48-r3.apk
2024-10-25 22:48
66K
perl-gtk2-ex-listmodelconcat-doc-11-r4.apk
2024-10-25 22:48
7.3K
perl-gtk2-ex-listmodelconcat-11-r4.apk
2024-10-25 22:48
13K
perl-gtk2-doc-1.24993-r6.apk
2024-10-25 22:48
670K
perl-gtk2-1.24993-r6.apk
2024-10-25 22:48
874K
perl-graphql-client-doc-0.605-r0.apk
2024-10-25 22:48
14K
perl-graphql-client-cli-0.605-r0.apk
2024-10-25 22:48
7.8K
perl-graphql-client-0.605-r0.apk
2024-10-25 22:48
7.1K
perl-glib-object-introspection-doc-0.051-r1.apk
2024-10-25 22:48
11K
perl-glib-object-introspection-0.051-r1.apk
2024-10-25 22:48
60K
perl-glib-ex-objectbits-doc-17-r0.apk
2024-10-25 22:48
22K
perl-glib-ex-objectbits-17-r0.apk
2024-10-25 22:48
15K
perl-git-version-compare-doc-1.005-r0.apk
2024-10-25 22:48
4.8K
perl-git-version-compare-1.005-r0.apk
2024-10-25 22:48
5.4K
perl-git-repository-doc-1.325-r0.apk
2024-10-25 22:48
32K
perl-git-repository-1.325-r0.apk
2024-10-25 22:48
16K
perl-getopt-tabular-doc-0.3-r4.apk
2024-10-25 22:48
17K
perl-getopt-tabular-0.3-r4.apk
2024-10-25 22:48
23K
perl-gearman-doc-2.004.015-r3.apk
2024-10-25 22:48
20K
perl-gearman-2.004.015-r3.apk
2024-10-25 22:48
27K
perl-future-queue-doc-0.52-r0.apk
2024-10-25 22:48
4.3K
perl-future-queue-0.52-r0.apk
2024-10-25 22:48
4.1K
perl-future-q-doc-0.120-r0.apk
2024-10-25 22:48
9.1K
perl-future-q-0.120-r0.apk
2024-10-25 22:48
9.6K
perl-future-http-doc-0.17-r0.apk
2024-10-25 22:48
16K
perl-future-http-0.17-r0.apk
2024-10-25 22:48
9.2K
perl-future-asyncawait-hooks-doc-0.02-r0.apk
2024-10-25 22:48
3.2K
perl-future-asyncawait-hooks-0.02-r0.apk
2024-10-25 22:48
8.2K
perl-full-doc-1.004-r0.apk
2024-10-25 22:48
10K
perl-full-1.004-r0.apk
2024-10-25 22:48
7.1K
perl-freezethaw-doc-0.5001-r2.apk
2024-10-25 22:48
5.6K
perl-freezethaw-0.5001-r2.apk
2024-10-25 22:48
9.8K
perl-flowd-doc-0.9.1-r10.apk
2024-10-25 22:48
3.2K
perl-flowd-0.9.1-r10.apk
2024-10-25 22:48
22K
perl-file-rename-doc-2.02-r0.apk
2024-10-25 22:48
12K
perl-file-rename-2.02-r0.apk
2024-10-25 22:48
7.5K
perl-file-mmagic-xs-doc-0.09008-r4.apk
2024-10-25 22:48
4.2K
perl-file-mmagic-xs-0.09008-r4.apk
2024-10-25 22:48
30K
perl-ffi-platypus-type-enum-doc-0.06-r0.apk
2024-10-25 22:48
5.1K
perl-ffi-platypus-type-enum-0.06-r0.apk
2024-10-25 22:48
5.2K
perl-ffi-c-doc-0.15-r0.apk
2024-10-25 22:48
29K
perl-ffi-c-0.15-r0.apk
2024-10-25 22:48
20K
perl-feed-find-doc-0.13-r0.apk
2024-10-25 22:48
3.7K
perl-feed-find-0.13-r0.apk
2024-10-25 22:48
3.8K
perl-extutils-xsbuilder-doc-0.28-r5.apk
2024-10-25 22:48
21K
perl-extutils-xsbuilder-0.28-r5.apk
2024-10-25 22:48
43K
perl-extutils-makemaker-7.70-r2.apk
2024-10-25 22:48
175K
perl-ev-hiredis-doc-0.07-r1.apk
2024-10-25 22:48
4.2K
perl-ev-hiredis-0.07-r1.apk
2024-10-25 22:48
13K
perl-email-reply-doc-1.204-r5.apk
2024-10-25 22:48
4.8K
perl-email-reply-1.204-r5.apk
2024-10-25 22:48
6.1K
perl-email-mime-attachment-stripper-doc-1.317-r5.apk
2024-10-25 22:48
3.8K
perl-email-mime-attachment-stripper-1.317-r5.apk
2024-10-25 22:48
3.9K
perl-email-abstract-doc-3.010-r0.apk
2024-10-25 22:48
13K
perl-email-abstract-3.010-r0.apk
2024-10-25 22:48
7.6K
perl-dns-unbound-mojo-0.29-r1.apk
2024-10-25 22:48
2.7K
perl-dns-unbound-ioasync-0.29-r1.apk
2024-10-25 22:48
2.4K
perl-dns-unbound-doc-0.29-r1.apk
2024-10-25 22:48
15K
perl-dns-unbound-asyncquery-promisexs-0.29-r1.apk
2024-10-25 22:48
1.9K
perl-dns-unbound-anyevent-0.29-r1.apk
2024-10-25 22:48
2.3K
perl-dns-unbound-0.29-r1.apk
2024-10-25 22:48
24K
perl-digest-crc-doc-0.24-r1.apk
2024-10-25 22:48
3.2K
perl-digest-crc-0.24-r1.apk
2024-10-25 22:48
9.4K
perl-digest-bcrypt-doc-1.212-r1.apk
2024-10-25 22:48
5.2K
perl-digest-bcrypt-1.212-r1.apk
2024-10-25 22:48
5.6K
perl-devel-refcount-doc-0.10-r1.apk
2024-10-25 22:48
4.2K
perl-devel-refcount-0.10-r1.apk
2024-10-25 22:48
6.1K
perl-devel-leak-doc-0.03-r13.apk
2024-10-25 22:48
3.3K
perl-devel-leak-0.03-r13.apk
2024-10-25 22:48
6.9K
perl-devel-confess-doc-0.009004-r0.apk
2024-10-25 22:48
6.6K
perl-devel-confess-0.009004-r0.apk
2024-10-25 22:48
11K
perl-dbix-introspector-doc-0.001005-r4.apk
2024-10-25 22:48
8.4K
perl-dbix-introspector-0.001005-r4.apk
2024-10-25 22:48
8.0K
perl-dbix-datasource-doc-0.02-r5.apk
2024-10-25 22:48
7.5K
perl-dbix-datasource-0.02-r5.apk
2024-10-25 22:48
4.3K
perl-dbix-class-doc-0.082843-r1.apk
2024-10-25 22:48
346K
perl-dbix-class-0.082843-r1.apk
2024-10-25 22:48
430K
perl-dbicx-sugar-doc-0.0200-r5.apk
2024-10-25 22:48
5.3K
perl-dbicx-sugar-0.0200-r5.apk
2024-10-25 22:48
5.9K
perl-datetime-timezone-catalog-extend-doc-0.3.3-r0.apk
2024-10-25 22:48
15K
perl-datetime-timezone-catalog-extend-0.3.3-r0.apk
2024-10-25 22:48
12K
perl-datetime-timezone-alias-doc-0.06-r0.apk
2024-10-25 22:48
7.6K
perl-datetime-timezone-alias-0.06-r0.apk
2024-10-25 22:48
2.5K
perl-database-async-engine-postgresql-doc-1.005-r0.apk
2024-10-25 22:48
9.3K
perl-database-async-engine-postgresql-1.005-r0.apk
2024-10-25 22:48
14K
perl-database-async-doc-0.019-r0.apk
2024-10-25 22:48
29K
perl-database-async-0.019-r0.apk
2024-10-25 22:48
23K
perl-data-validate-ip-doc-0.31-r1.apk
2024-10-25 22:48
5.8K
perl-data-validate-ip-0.31-r1.apk
2024-10-25 22:48
8.8K
perl-data-validate-domain-doc-0.15-r0.apk
2024-10-25 22:48
5.4K
perl-data-validate-domain-0.15-r0.apk
2024-10-25 22:48
5.8K
perl-data-checks-doc-0.10-r0.apk
2024-10-25 22:48
8.2K
perl-data-checks-0.10-r0.apk
2024-10-25 22:48
23K
perl-dancer-session-cookie-doc-0.30-r2.apk
2024-10-25 22:48
4.2K
perl-dancer-session-cookie-0.30-r2.apk
2024-10-25 22:48
5.4K
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk
2024-10-25 22:48
8.4K
perl-dancer-plugin-passphrase-2.0.1-r4.apk
2024-10-25 22:48
9.7K
perl-dancer-plugin-dbic-doc-0.2104-r5.apk
2024-10-25 22:48
5.3K
perl-dancer-plugin-dbic-0.2104-r5.apk
2024-10-25 22:48
4.9K
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk
2024-10-25 22:48
15K
perl-dancer-plugin-auth-extensible-1.00-r5.apk
2024-10-25 22:48
15K
perl-daemon-control-doc-0.001010-r2.apk
2024-10-25 22:48
8.2K
perl-daemon-control-0.001010-r2.apk
2024-10-25 22:48
12K
perl-css-object-doc-0.2.0-r0.apk
2024-10-25 22:48
33K
perl-css-object-0.2.0-r0.apk
2024-10-25 22:48
23K
perl-crypt-saltedhash-doc-0.09-r5.apk
2024-10-25 22:48
6.3K
perl-crypt-saltedhash-0.09-r5.apk
2024-10-25 22:48
6.9K
perl-crypt-random-seed-doc-0.03-r0.apk
2024-10-25 22:48
8.8K
perl-crypt-random-seed-0.03-r0.apk
2024-10-25 22:48
11K
perl-cpan-changes-doc-0.500004-r0.apk
2024-10-25 22:48
18K
perl-cpan-changes-0.500004-r0.apk
2024-10-25 22:48
14K
perl-context-preserve-doc-0.03-r4.apk
2024-10-25 22:48
4.2K
perl-context-preserve-0.03-r4.apk
2024-10-25 22:48
3.8K
perl-constant-generate-doc-0.17-r5.apk
2024-10-25 22:48
7.0K
perl-constant-generate-0.17-r5.apk
2024-10-25 22:48
8.7K
perl-constant-defer-doc-6-r5.apk
2024-10-25 22:48
6.9K
perl-constant-defer-6-r5.apk
2024-10-25 22:48
7.4K
perl-conf-libconfig-doc-1.0.3-r0.apk
2024-10-25 22:48
5.4K
perl-conf-libconfig-1.0.3-r0.apk
2024-10-25 22:48
24K
perl-color-rgb-util-doc-0.607-r0.apk
2024-10-25 22:48
7.4K
perl-color-rgb-util-0.607-r0.apk
2024-10-25 22:48
9.3K
perl-color-ansi-util-doc-0.165-r0.apk
2024-10-25 22:48
5.1K
perl-color-ansi-util-0.165-r0.apk
2024-10-25 22:48
7.3K
perl-class-inner-doc-0.200001-r5.apk
2024-10-25 22:48
4.1K
perl-class-inner-0.200001-r5.apk
2024-10-25 22:48
3.9K
perl-class-c3-doc-0.35-r1.apk
2024-10-25 22:48
9.3K
perl-class-c3-componentised-doc-1.001002-r2.apk
2024-10-25 22:48
5.3K
perl-class-c3-componentised-1.001002-r2.apk
2024-10-25 22:48
5.5K
perl-class-c3-0.35-r1.apk
2024-10-25 22:48
9.4K
perl-class-accessor-grouped-doc-0.10014-r2.apk
2024-10-25 22:48
7.5K
perl-class-accessor-grouped-0.10014-r2.apk
2024-10-25 22:48
12K
perl-check-unitcheck-doc-0.13-r1.apk
2024-10-25 22:48
3.6K
perl-check-unitcheck-0.13-r1.apk
2024-10-25 22:48
6.0K
perl-cgi-expand-doc-2.05-r4.apk
2024-10-25 22:48
6.1K
perl-cgi-expand-2.05-r4.apk
2024-10-25 22:48
6.8K
perl-cairo-gobject-doc-1.005-r4.apk
2024-10-25 22:48
2.9K
perl-cairo-gobject-1.005-r4.apk
2024-10-25 22:48
6.5K
perl-cairo-doc-1.109-r4.apk
2024-10-25 22:48
14K
perl-cairo-1.109-r4.apk
2024-10-25 22:48
76K
perl-cache-lru-doc-0.04-r0.apk
2024-10-25 22:48
3.2K
perl-cache-lru-0.04-r0.apk
2024-10-25 22:48
2.9K
perl-bytes-random-secure-doc-0.29-r0.apk
2024-10-25 22:48
12K
perl-bytes-random-secure-0.29-r0.apk
2024-10-25 22:48
14K
perl-bsd-resource-doc-1.2911-r10.apk
2024-10-25 22:48
7.9K
perl-bsd-resource-1.2911-r10.apk
2024-10-25 22:48
19K
perl-bind-config-parser-doc-0.01-r5.apk
2024-10-25 22:48
3.6K
perl-bind-config-parser-0.01-r5.apk
2024-10-25 22:48
3.8K
perl-bareword-filehandles-doc-0.007-r0.apk
2024-10-25 22:48
3.2K
perl-bareword-filehandles-0.007-r0.apk
2024-10-25 22:48
5.9K
perl-barcode-zbar-doc-0.10-r3.apk
2024-10-25 22:48
13K
perl-barcode-zbar-0.10-r3.apk
2024-10-25 22:48
30K
perl-b-hooks-op-check-doc-0.22-r0.apk
2024-10-25 22:48
3.7K
perl-b-hooks-op-check-0.22-r0.apk
2024-10-25 22:48
6.6K
perl-autobox-doc-3.0.2-r0.apk
2024-10-25 22:48
8.9K
perl-autobox-3.0.2-r0.apk
2024-10-25 22:48
19K
perl-archive-extract-doc-0.88-r1.apk
2024-10-25 22:48
6.8K
perl-archive-extract-0.88-r1.apk
2024-10-25 22:48
16K
perl-anyevent-riperedis-doc-0.48-r0.apk
2024-10-25 22:48
10K
perl-anyevent-riperedis-0.48-r0.apk
2024-10-25 22:48
12K
perl-anyevent-future-doc-0.05-r0.apk
2024-10-25 22:48
5.4K
perl-anyevent-future-0.05-r0.apk
2024-10-25 22:48
5.1K
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk
2024-10-25 22:48
4.0K
perl-anyevent-dns-etchosts-0.0105-r0.apk
2024-10-25 22:48
5.1K
perl-aliased-doc-0.34-r4.apk
2024-10-25 22:48
5.6K
perl-aliased-0.34-r4.apk
2024-10-25 22:48
5.6K
perl-algorithm-permute-doc-0.17-r0.apk
2024-10-25 22:48
5.0K
perl-algorithm-permute-0.17-r0.apk
2024-10-25 22:48
12K
perl-algorithm-evolutionary-doc-0.82.1-r0.apk
2024-10-25 22:48
148K
perl-algorithm-evolutionary-0.82.1-r0.apk
2024-10-25 22:48
79K
perl-algorithm-cron-doc-0.10-r4.apk
2024-10-25 22:48
4.6K
perl-algorithm-cron-0.10-r4.apk
2024-10-25 22:48
6.1K
perl-algorithm-c3-doc-0.11-r1.apk
2024-10-25 22:48
5.1K
perl-algorithm-c3-0.11-r1.apk
2024-10-25 22:48
5.6K
perl-algorithm-backoff-doc-0.010-r0.apk
2024-10-25 22:48
29K
perl-algorithm-backoff-0.010-r0.apk
2024-10-25 22:48
9.6K
perl-adapter-async-doc-0.019-r0.apk
2024-10-25 22:48
17K
perl-adapter-async-0.019-r0.apk
2024-10-25 22:48
8.1K
percona-toolkit-doc-3.5.4-r1.apk
2024-10-25 22:48
298K
percona-toolkit-3.5.4-r1.apk
2024-10-25 22:48
1.8M
pegasus-frontend-doc-16_alpha-r0.apk
2024-10-25 22:48
16K
pegasus-frontend-16_alpha-r0.apk
2024-10-25 22:48
1.2M
peg-doc-0.1.18-r1.apk
2024-10-25 22:48
14K
peg-0.1.18-r1.apk
2024-10-25 22:48
39K
peervpn-openrc-0.044-r5.apk
2024-10-25 22:48
1.8K
peervpn-0.044-r5.apk
2024-10-25 22:48
50K
pdm-pyc-2.18.2-r0.apk
2024-10-25 22:48
482K
pdm-2.18.2-r0.apk
2024-10-25 22:48
227K
pdfcrack-0.20-r0.apk
2024-10-25 22:48
33K
pdf2svg-0.2.3-r1.apk
2024-10-25 22:48
4.4K
pcsc-tools-doc-1.7.2-r0.apk
2024-10-25 22:48
6.0K
pcsc-tools-1.7.2-r0.apk
2024-10-25 22:48
188K
pcsc-perl-doc-1.4.16-r1.apk
2024-10-25 22:48
10K
pcsc-perl-1.4.16-r1.apk
2024-10-25 22:48
26K
pcl-libs-1.14.0-r2.apk
2024-10-25 22:48
19M
pcl-dev-1.14.0-r2.apk
2024-10-25 22:48
1.5M
pcl-1.14.0-r2.apk
2024-10-25 22:48
3.0M
pathvector-6.3.2-r8.apk
2024-10-25 22:48
3.6M
pasystray-doc-0.8.2-r0.apk
2024-10-25 22:48
3.3K
pasystray-0.8.2-r0.apk
2024-10-25 22:48
46K
pastel-zsh-completion-0.10.0-r0.apk
2024-10-25 22:48
4.9K
pastel-fish-completion-0.10.0-r0.apk
2024-10-25 22:48
3.2K
pastel-bash-completion-0.10.0-r0.apk
2024-10-25 22:48
3.0K
pastel-0.10.0-r0.apk
2024-10-25 22:48
433K
pass2csv-pyc-1.1.1-r1.apk
2024-10-25 22:48
7.4K
pass2csv-1.1.1-r1.apk
2024-10-25 22:48
8.3K
pash-2.3.0-r2.apk
2024-10-25 22:48
4.3K
parse-changelog-0.6.8-r0.apk
2024-10-25 22:48
573K
parcellite-lang-1.2.5-r0.apk
2024-10-25 22:48
49K
parcellite-doc-1.2.5-r0.apk
2024-10-25 22:48
25K
parcellite-1.2.5-r0.apk
2024-10-25 22:48
227K
par-doc-1.53.0-r1.apk
2024-10-25 22:48
30K
par-1.53.0-r1.apk
2024-10-25 22:48
15K
paperkey-doc-1.6-r2.apk
2024-10-25 22:48
4.5K
paperkey-1.6-r2.apk
2024-10-25 22:48
16K
paperde-dev-0.2.1-r2.apk
2024-10-25 22:48
5.2K
paperde-0.2.1-r2.apk
2024-10-25 22:48
644K
pantalaimon-ui-0.10.5-r4.apk
2024-10-25 22:48
1.7K
pantalaimon-pyc-0.10.5-r4.apk
2024-10-25 22:48
83K
pantalaimon-doc-0.10.5-r4.apk
2024-10-25 22:48
6.4K
pantalaimon-0.10.5-r4.apk
2024-10-25 22:48
45K
pamtester-doc-0.1.2-r4.apk
2024-10-25 22:48
2.9K
pamtester-0.1.2-r4.apk
2024-10-25 22:48
9.2K
pam_sqlite3-1.0.2-r2.apk
2024-10-25 22:48
9.0K
pam-krb5-doc-4.11-r1.apk
2024-10-25 22:48
24K
pam-krb5-4.11-r1.apk
2024-10-25 22:48
23K
pacparser-doc-1.4.5-r1.apk
2024-10-25 22:48
18K
pacparser-dev-1.4.5-r1.apk
2024-10-25 22:48
3.6K
pacparser-1.4.5-r1.apk
2024-10-25 22:48
784K
p910nd-openrc-0.97-r2.apk
2024-10-25 22:48
2.1K
p910nd-doc-0.97-r2.apk
2024-10-25 22:48
3.2K
p910nd-0.97-r2.apk
2024-10-25 22:48
7.9K
p0f-doc-3.09b-r3.apk
2024-10-25 22:48
25K
p0f-3.09b-r3.apk
2024-10-25 22:48
77K
oxygen-icons-6.1.0-r0.apk
2024-10-25 22:48
32M
ovpncc-doc-0.1_rc1-r0.apk
2024-10-25 22:48
6.5K
ovpncc-0.1_rc1-r0.apk
2024-10-25 22:48
12K
ovn-openrc-24.03.1-r0.apk
2024-10-25 22:48
4.1K
ovn-doc-24.03.1-r0.apk
2024-10-25 22:48
512K
ovn-dev-24.03.1-r0.apk
2024-10-25 22:48
23M
ovn-dbg-24.03.1-r0.apk
2024-10-25 22:48
26M
ovn-24.03.1-r0.apk
2024-10-25 22:47
7.2M
otrs-setup-6.0.48-r2.apk
2024-10-25 22:47
107K
otrs-openrc-6.0.48-r2.apk
2024-10-25 22:47
1.9K
otrs-nginx-6.0.48-r2.apk
2024-10-25 22:47
1.8K
otrs-fastcgi-6.0.48-r2.apk
2024-10-25 22:47
1.8K
otrs-doc-6.0.48-r2.apk
2024-10-25 22:47
795K
otrs-dev-6.0.48-r2.apk
2024-10-25 22:47
3.9M
otrs-bash-completion-6.0.48-r2.apk
2024-10-25 22:47
2.4K
otrs-apache2-6.0.48-r2.apk
2024-10-25 22:47
4.0K
otrs-6.0.48-r2.apk
2024-10-25 22:47
29M
otpclient-doc-4.0.2-r0.apk
2024-10-25 22:47
3.6K
otpclient-4.0.2-r0.apk
2024-10-25 22:47
118K
otf-atkinson-hyperlegible-doc-2020.0514-r1.apk
2024-10-25 22:47
48K
otf-atkinson-hyperlegible-2020.0514-r1.apk
2024-10-25 22:47
102K
osmctools-0.9-r0.apk
2024-10-25 22:47
120K
orage-lang-4.18.0-r0.apk
2024-10-25 22:47
1.2M
orage-4.18.0-r0.apk
2024-10-25 22:47
563K
opmsg-1.84-r1.apk
2024-10-25 22:47
271K
opkg-utils-doc-0.7.0-r0.apk
2024-10-25 22:47
3.6K
opkg-utils-0.7.0-r0.apk
2024-10-25 22:47
25K
opkg-libs-0.7.0-r0.apk
2024-10-25 22:47
80K
opkg-doc-0.7.0-r0.apk
2024-10-25 22:47
7.7K
opkg-dev-0.7.0-r0.apk
2024-10-25 22:47
179K
opkg-0.7.0-r0.apk
2024-10-25 22:47
10K
openwsman-libs-2.7.2-r5.apk
2024-10-25 22:47
328K
openwsman-doc-2.7.2-r5.apk
2024-10-25 22:47
2.4K
openwsman-dev-2.7.2-r5.apk
2024-10-25 22:47
58K
openwsman-2.7.2-r5.apk
2024-10-25 22:47
51K
openvpn3-dev-3.8.5-r0.apk
2024-10-25 22:47
686K
openvpn3-3.8.5-r0.apk
2024-10-25 22:47
397K
openttd-opensfx-1.0.3-r0.apk
2024-10-25 22:47
11M
openttd-openmsx-0.4.2-r0.apk
2024-10-25 22:47
129K
openttd-opengfx-7.1-r0.apk
2024-10-25 22:47
3.3M
openttd-lang-14.1-r0.apk
2024-10-25 22:47
3.5M
openttd-doc-14.1-r0.apk
2024-10-25 22:47
264K
openttd-14.1-r0.apk
2024-10-25 22:47
7.2M
openswitcher-proxy-openrc-0.5.0-r4.apk
2024-10-25 22:47
2.0K
openswitcher-proxy-0.5.0-r4.apk
2024-10-25 22:47
9.4K
openswitcher-0.5.0-r4.apk
2024-10-25 22:47
148K
opensmtpd-filter-dkimsign-doc-0.6-r1.apk
2024-10-25 22:47
3.5K
opensmtpd-filter-dkimsign-0.6-r1.apk
2024-10-25 22:47
15K
openslide-tools-3.4.1-r3.apk
2024-10-25 22:47
9.2K
openslide-doc-3.4.1-r3.apk
2024-10-25 22:47
4.9K
openslide-dev-3.4.1-r3.apk
2024-10-25 22:47
6.9K
openslide-3.4.1-r3.apk
2024-10-25 22:47
81K
openscap-daemon-pyc-0.1.10-r9.apk
2024-10-25 22:47
102K
openscap-daemon-doc-0.1.10-r9.apk
2024-10-25 22:47
18K
openscap-daemon-0.1.10-r9.apk
2024-10-25 22:47
60K
openocd-riscv-udev-rules-0_git20230104-r2.apk
2024-10-25 22:47
3.3K
openocd-riscv-doc-0_git20230104-r2.apk
2024-10-25 22:47
3.3K
openocd-riscv-dev-0_git20230104-r2.apk
2024-10-25 22:47
3.7K
openocd-riscv-0_git20230104-r2.apk
2024-10-25 22:47
1.5M
openocd-git-udev-rules-0_git20240113-r1.apk
2024-10-25 22:47
3.4K
openocd-git-doc-0_git20240113-r1.apk
2024-10-25 22:47
3.3K
openocd-git-dev-0_git20240113-r1.apk
2024-10-25 22:47
3.4K
openocd-git-dbg-0_git20240113-r1.apk
2024-10-25 22:47
4.2M
openocd-git-cmd-openocd-0_git20240113-r1.apk
2024-10-25 22:47
1.5K
openocd-git-0_git20240113-r1.apk
2024-10-25 22:47
1.7M
openocd-esp32-udev-rules-0_git20230921-r5.apk
2024-10-25 22:47
3.4K
openocd-esp32-doc-0_git20230921-r5.apk
2024-10-25 22:47
3.3K
openocd-esp32-dev-0_git20230921-r5.apk
2024-10-25 22:47
3.4K
openocd-esp32-0_git20230921-r5.apk
2024-10-25 22:47
1.8M
openmg-0.0.9-r0.apk
2024-10-25 22:47
103K
openjdk23-static-libs-23.0.1_p11-r0.apk
2024-10-25 22:47
19M
openjdk23-src-23.0.1_p11-r0.apk
2024-10-25 22:47
46M
openjdk23-jre-headless-23.0.1_p11-r0.apk
2024-10-25 22:47
60M
openjdk23-jre-23.0.1_p11-r0.apk
2024-10-25 22:47
1.1M
openjdk23-jmods-23.0.1_p11-r0.apk
2024-10-25 22:47
73M
openjdk23-jdk-23.0.1_p11-r0.apk
2024-10-25 22:47
6.7M
openjdk23-doc-23.0.1_p11-r0.apk
2024-10-25 22:47
187K
openjdk23-demos-23.0.1_p11-r0.apk
2024-10-25 22:47
5.2M
openjdk23-23.0.1_p11-r0.apk
2024-10-25 22:47
1.5K
openjdk22-static-libs-22.0.2_p9-r2.apk
2024-10-25 22:47
18M
openjdk22-src-22.0.2_p9-r2.apk
2024-10-25 22:47
46M
openjdk22-jre-headless-22.0.2_p9-r2.apk
2024-10-25 22:47
56M
openjdk22-jre-22.0.2_p9-r2.apk
2024-10-25 22:47
1.1M
openjdk22-jmods-22.0.2_p9-r2.apk
2024-10-25 22:47
69M
openjdk22-jdk-22.0.2_p9-r2.apk
2024-10-25 22:47
6.6M
openjdk22-doc-22.0.2_p9-r2.apk
2024-10-25 22:47
186K
openjdk22-demos-22.0.2_p9-r2.apk
2024-10-25 22:47
5.2M
openjdk22-22.0.2_p9-r2.apk
2024-10-25 22:47
1.5K
openfpgaloader-0.11.0-r0.apk
2024-10-25 22:47
2.0M
openapi-validator-1.19.2-r0.apk
2024-10-25 22:47
10M
olsrd-plugins-0.9.8-r3.apk
2024-10-25 22:47
189K
olsrd-openrc-0.9.8-r3.apk
2024-10-25 22:47
1.9K
olsrd-doc-0.9.8-r3.apk
2024-10-25 22:47
25K
olsrd-0.9.8-r3.apk
2024-10-25 22:47
168K
ol-doc-2.4-r0.apk
2024-10-25 22:47
2.5K
ol-2.4-r0.apk
2024-10-25 22:47
1.0M
oil-doc-0.21.0-r0.apk
2024-10-25 22:47
7.0K
oil-0.21.0-r0.apk
2024-10-25 22:47
1.5M
octoprint-pisupport-pyc-2023.10.10-r1.apk
2024-10-25 22:47
13K
octoprint-pisupport-2023.10.10-r1.apk
2024-10-25 22:47
31K
octoprint-firmwarecheck-pyc-2021.10.11-r2.apk
2024-10-25 22:47
18K
octoprint-firmwarecheck-2021.10.11-r2.apk
2024-10-25 22:47
29K
octoprint-filecheck-pyc-2024.3.27-r1.apk
2024-10-25 22:47
11K
octoprint-filecheck-2024.3.27-r1.apk
2024-10-25 22:47
28K
octoprint-creality2xfix-pyc-0.0.4-r2.apk
2024-10-25 22:47
3.3K
octoprint-creality2xfix-0.0.4-r2.apk
2024-10-25 22:47
4.7K
ocfs2-tools-doc-1.8.7-r4.apk
2024-10-25 22:47
69K
ocfs2-tools-dev-1.8.7-r4.apk
2024-10-25 22:47
45K
ocfs2-tools-1.8.7-r4.apk
2024-10-25 22:47
1.2M
objconv-2.52_git20210213-r2.apk
2024-10-25 22:47
265K
oauth2-proxy-openrc-7.6.0-r6.apk
2024-10-25 22:47
2.1K
oauth2-proxy-7.6.0-r6.apk
2024-10-25 22:47
7.5M
nymphcast-mediaserver-nftables-0.1-r3.apk
2024-10-25 22:47
1.7K
nymphcast-mediaserver-0.1-r3.apk
2024-10-25 22:47
71K
nwg-dock-0.3.9-r6.apk
2024-10-25 22:47
1.6M
nwg-displays-pyc-0.3.13-r1.apk
2024-10-25 22:47
35K
nwg-displays-0.3.13-r1.apk
2024-10-25 22:47
24K
nwg-bar-0.1.6-r5.apk
2024-10-25 22:47
1.5M
nvtop-doc-3.1.0-r0.apk
2024-10-25 22:47
3.5K
nvtop-3.1.0-r0.apk
2024-10-25 22:47
60K
nvim-packer-doc-0.0.0_git20220910-r1.apk
2024-10-25 22:47
21K
nvim-packer-0.0.0_git20220910-r1.apk
2024-10-25 22:47
46K
nvim-gruvbox-doc-0.0.0_git20221212-r1.apk
2024-10-25 22:47
2.8K
nvim-gruvbox-0.0.0_git20221212-r1.apk
2024-10-25 22:47
10K
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk
2024-10-25 22:47
2.0K
nvim-cmp-path-0.0.0_git20221002-r1.apk
2024-10-25 22:47
3.8K
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk
2024-10-25 22:47
2.0K
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk
2024-10-25 22:47
3.5K
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk
2024-10-25 22:47
2.6K
nvim-cmp-lsp-0.0.0_git20220516-r1.apk
2024-10-25 22:47
3.5K
nvim-cmp-doc-0.0.0_git20221011-r1.apk
2024-10-25 22:47
10K
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk
2024-10-25 22:47
1.8K
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk
2024-10-25 22:47
3.3K
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk
2024-10-25 22:47
4.2K
nvim-cmp-buffer-0.0.0_git20220810-r1.apk
2024-10-25 22:47
7.8K
nvim-cmp-0.0.0_git20221011-r1.apk
2024-10-25 22:47
55K
nuzzle-doc-1.5-r0.apk
2024-10-25 22:47
3.3K
nuzzle-1.5-r0.apk
2024-10-25 22:47
12K
nullmailer-openrc-2.2-r4.apk
2024-10-25 22:47
1.8K
nullmailer-doc-2.2-r4.apk
2024-10-25 22:47
10K
nullmailer-2.2-r4.apk
2024-10-25 22:47
128K
nuklear-doc-4.12.0-r0.apk
2024-10-25 22:47
42K
nuklear-4.12.0-r0.apk
2024-10-25 22:47
220K
nsq-1.3.0-r5.apk
2024-10-25 22:47
23M
nsnake-doc-3.0.0-r0.apk
2024-10-25 22:47
2.9K
nsnake-3.0.0-r0.apk
2024-10-25 22:47
8.9K
notification-daemon-3.20.0-r0.apk
2024-10-25 22:47
61K
nom-2.6.1-r0.apk
2024-10-25 22:47
6.5M
noice-doc-0.8-r1.apk
2024-10-25 22:47
3.3K
noice-0.8-r1.apk
2024-10-25 22:47
9.7K
noggin-model-lightweight-0.1-r0.apk
2024-10-25 22:47
1.7M
noggin-model-0.1-r0.apk
2024-10-25 22:47
12M
node-libpg-query-13.1.2-r5.apk
2024-10-25 22:47
19K
noblenote-1.2.1-r1.apk
2024-10-25 22:47
426K
nmon-16q-r0.apk
2024-10-25 22:47
73K
nmap-parse-output-doc-1.5.1-r0.apk
2024-10-25 22:47
808K
nmap-parse-output-bash-completion-1.5.1-r0.apk
2024-10-25 22:47
2.0K
nmap-parse-output-1.5.1-r0.apk
2024-10-25 22:47
22K
nm-tray-lang-0.5.0-r0.apk
2024-10-25 22:47
27K
nm-tray-0.5.0-r0.apk
2024-10-25 22:47
99K
nkk-doc-0_git20221010-r0.apk
2024-10-25 22:47
6.9K
nkk-dev-0_git20221010-r0.apk
2024-10-25 22:47
2.9K
nkk-0_git20221010-r0.apk
2024-10-25 22:47
15K
nitro-dev-2.7_beta8-r2.apk
2024-10-25 22:47
190K
nitro-2.7_beta8-r2.apk
2024-10-25 22:47
556K
ngs-vim-0.2.14-r0.apk
2024-10-25 22:47
4.9K
ngs-aws-0.2.14-r0.apk
2024-10-25 22:47
33K
ngs-0.2.14-r0.apk
2024-10-25 22:47
291K
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk
2024-10-25 22:47
21K
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk
2024-10-25 22:47
713K
nfoview-doc-2.0.1-r0.apk
2024-10-25 22:47
8.0K
nfoview-2.0.1-r0.apk
2024-10-25 22:47
39K
nextpnr-ice40-0.7-r0.apk
2024-10-25 22:47
69M
nextpnr-gowin-0.7-r0.apk
2024-10-25 22:47
1.5M
nextpnr-generic-0.7-r0.apk
2024-10-25 22:47
761K
nextpnr-ecp5-0.7-r0.apk
2024-10-25 22:47
25M
nextpnr-0.7-r0.apk
2024-10-25 22:47
1.5K
newsyslog-doc-1.2.0.91-r1.apk
2024-10-25 22:47
24K
newsyslog-1.2.0.91-r1.apk
2024-10-25 22:47
17K
netsurf-framebuffer-doc-3.11-r0.apk
2024-10-25 22:47
3.9K
netsurf-framebuffer-3.11-r0.apk
2024-10-25 22:47
2.9M
netsurf-doc-3.11-r0.apk
2024-10-25 22:47
4.4K
netsurf-3.11-r0.apk
2024-10-25 22:47
2.2M
netsed-1.3-r3.apk
2024-10-25 22:47
9.8K
netscanner-doc-0.5.1-r1.apk
2024-10-25 22:47
3.3K
netscanner-0.5.1-r1.apk
2024-10-25 22:47
3.6M
netdiscover-doc-0.10-r0.apk
2024-10-25 22:47
22K
netdiscover-0.10-r0.apk
2024-10-25 22:47
662K
nemo-gtkhash-plugin-1.5-r0.apk
2024-10-25 22:47
23K
neard-openrc-0.19-r0.apk
2024-10-25 22:47
1.7K
neard-doc-0.19-r0.apk
2024-10-25 22:47
5.6K
neard-dev-0.19-r0.apk
2024-10-25 22:47
11K
neard-0.19-r0.apk
2024-10-25 22:47
139K
ndpi-dev-4.10-r0.apk
2024-10-25 22:47
1.5M
ndpi-4.10-r0.apk
2024-10-25 22:47
1.6M
nbsdgames-doc-5-r0.apk
2024-10-25 22:47
9.6K
nbsdgames-5-r0.apk
2024-10-25 22:47
101K
nauty-libs-2.8.9-r0.apk
2024-10-25 22:47
2.8M
nauty-dev-2.8.9-r0.apk
2024-10-25 22:47
5.9M
nauty-2.8.9-r0.apk
2024-10-25 22:47
5.6M
nano-hare-0_git20231021-r0.apk
2024-10-25 22:47
2.2K
n30f-2.0-r3.apk
2024-10-25 22:47
6.9K
mypaint-pyc-2.0.1-r1.apk
2024-10-25 22:47
1.1M
mypaint-lang-2.0.1-r1.apk
2024-10-25 22:47
1.2M
mypaint-2.0.1-r1.apk
2024-10-25 22:47
3.9M
mxclient-0_git20211002-r1.apk
2024-10-25 22:47
12K
musikcube-plugin-taglibreader-3.0.4-r0.apk
2024-10-25 22:47
34K
musikcube-plugin-supereqdsp-3.0.4-r0.apk
2024-10-25 22:47
26K
musikcube-plugin-stockencoders-3.0.4-r0.apk
2024-10-25 22:47
20K
musikcube-plugin-server-3.0.4-r0.apk
2024-10-25 22:47
372K
musikcube-plugin-openmpt-3.0.4-r0.apk
2024-10-25 22:47
29K
musikcube-plugin-mpris-3.0.4-r0.apk
2024-10-25 22:47
21K
musikcube-plugin-httpdatastream-3.0.4-r0.apk
2024-10-25 22:47
77K
musikcube-plugin-all-3.0.4-r0.apk
2024-10-25 22:47
1.5K
musikcube-dev-3.0.4-r0.apk
2024-10-25 22:47
21K
musikcube-3.0.4-r0.apk
2024-10-25 22:47
2.3M
muse-doc-4.2.1-r1.apk
2024-10-25 22:47
4.1M
muse-4.2.1-r1.apk
2024-10-25 22:47
6.2M
muon-doc-0.3.0-r0.apk
2024-10-25 22:47
73K
muon-0.3.0-r0.apk
2024-10-25 22:47
275K
mtree-portable-doc-0_git20220519-r0.apk
2024-10-25 22:47
12K
mtree-portable-0_git20220519-r0.apk
2024-10-25 22:47
25K
mtg-openrc-2.1.7-r16.apk
2024-10-25 22:47
1.9K
mtg-2.1.7-r16.apk
2024-10-25 22:47
4.1M
mspdebug-doc-0.25-r1.apk
2024-10-25 22:47
14K
mspdebug-0.25-r1.apk
2024-10-25 22:47
212K
msh-openrc-2.5.0-r7.apk
2024-10-25 22:47
2.0K
msh-2.5.0-r7.apk
2024-10-25 22:47
2.6M
msgpuck-doc-2.0-r1.apk
2024-10-25 22:47
7.6K
msgpuck-dev-2.0-r1.apk
2024-10-25 22:47
33K
msgpuck-2.0-r1.apk
2024-10-25 22:47
1.4K
mrsh-libs-0_git20210518-r1.apk
2024-10-25 22:47
60K
mrsh-dev-0_git20210518-r1.apk
2024-10-25 22:47
10K
mrsh-dbg-0_git20210518-r1.apk
2024-10-25 22:47
209K
mrsh-0_git20210518-r1.apk
2024-10-25 22:47
5.3K
mqtt2prometheus-0.1.7-r11.apk
2024-10-25 22:47
4.0M
mpop-vim-1.4.20-r1.apk
2024-10-25 22:47
2.7K
mpop-lang-1.4.20-r1.apk
2024-10-25 22:47
130K
mpop-doc-1.4.20-r1.apk
2024-10-25 22:47
33K
mpop-1.4.20-r1.apk
2024-10-25 22:47
69K
mpdris2-lang-0.9.1-r3.apk
2024-10-25 22:47
2.3K
mpdris2-doc-0.9.1-r3.apk
2024-10-25 22:47
15K
mpdris2-0.9.1-r3.apk
2024-10-25 22:47
15K
mpdcron-zsh-completion-0.3-r1.apk
2024-10-25 22:47
2.9K
mpdcron-doc-0.3-r1.apk
2024-10-25 22:47
13K
mpdcron-dev-0.3-r1.apk
2024-10-25 22:47
95K
mpdcron-0.3-r1.apk
2024-10-25 22:47
96K
mp3val-0.1.8-r1.apk
2024-10-25 22:47
15K
motion-openrc-4.7.0-r0.apk
2024-10-25 22:47
2.2K
motion-lang-4.7.0-r0.apk
2024-10-25 22:47
471K
motion-doc-4.7.0-r0.apk
2024-10-25 22:47
140K
motion-4.7.0-r0.apk
2024-10-25 22:47
143K
moosefs-static-3.0.117-r2.apk
2024-10-25 22:47
1.5M
moosefs-metalogger-openrc-3.0.117-r2.apk
2024-10-25 22:47
1.6K
moosefs-metalogger-3.0.117-r2.apk
2024-10-25 22:47
33K
moosefs-master-openrc-3.0.117-r2.apk
2024-10-25 22:47
1.6K
moosefs-master-3.0.117-r2.apk
2024-10-25 22:47
291K
moosefs-doc-3.0.117-r2.apk
2024-10-25 22:47
64K
moosefs-client-3.0.117-r2.apk
2024-10-25 22:47
304K
moosefs-chunkserver-openrc-3.0.117-r2.apk
2024-10-25 22:47
1.6K
moosefs-chunkserver-3.0.117-r2.apk
2024-10-25 22:47
165K
moosefs-cgiserv-openrc-3.0.117-r2.apk
2024-10-25 22:47
1.9K
moosefs-cgiserv-3.0.117-r2.apk
2024-10-25 22:47
7.7K
moosefs-cgi-3.0.117-r2.apk
2024-10-25 22:47
63K
moosefs-3.0.117-r2.apk
2024-10-25 22:47
210K
moon-buggy-doc-1.0.51-r1.apk
2024-10-25 22:47
7.1K
moon-buggy-1.0.51-r1.apk
2024-10-25 22:47
36K
mongo-cxx-driver-dev-3.8.0-r0.apk
2024-10-25 22:47
89K
mongo-cxx-driver-3.8.0-r0.apk
2024-10-25 22:47
179K
monetdb-doc-11.33.11-r4.apk
2024-10-25 22:47
321K
monetdb-dev-11.33.11-r4.apk
2024-10-25 22:47
77K
monetdb-11.33.11-r4.apk
2024-10-25 22:47
2.3M
moka-icon-theme-5.4.0-r2.apk
2024-10-25 22:47
114M
moe-doc-1.14-r0.apk
2024-10-25 22:46
19K
moe-1.14-r0.apk
2024-10-25 22:46
107K
modem-manager-gui-lang-0.0.20-r0.apk
2024-10-25 22:46
129K
modem-manager-gui-doc-0.0.20-r0.apk
2024-10-25 22:46
3.9M
modem-manager-gui-0.0.20-r0.apk
2024-10-25 22:46
332K
mod_dnssd-0.6-r0.apk
2024-10-25 22:46
8.7K
moccasin-doc-0.1.3-r0.apk
2024-10-25 22:46
5.3K
moccasin-0.1.3-r0.apk
2024-10-25 22:46
1.9M
mobpass-pyc-0.2-r6.apk
2024-10-25 22:46
5.2K
mobpass-0.2-r6.apk
2024-10-25 22:46
18K
mm-doc-1.4.2-r1.apk
2024-10-25 22:46
15K
mm-dev-1.4.2-r1.apk
2024-10-25 22:46
16K
mm-common-doc-1.0.5-r0.apk
2024-10-25 22:46
32K
mm-common-1.0.5-r0.apk
2024-10-25 22:46
473K
mm-1.4.2-r1.apk
2024-10-25 22:46
8.0K
mlxl-0.1-r0.apk
2024-10-25 22:46
5.8K
mktorrent-borg-doc-0.9.9-r1.apk
2024-10-25 22:46
2.5K
mktorrent-borg-0.9.9-r1.apk
2024-10-25 22:46
9.5K
mkosi-pyc-24.3-r0.apk
2024-10-25 22:46
336K
mkosi-24.3-r0.apk
2024-10-25 22:46
212K
mkg3a-doc-0.5.0-r1.apk
2024-10-25 22:46
3.0K
mkg3a-0.5.0-r1.apk
2024-10-25 22:46
14K
mkdocs-windmill-pyc-1.0.5-r4.apk
2024-10-25 22:46
1.8K
mkdocs-windmill-1.0.5-r4.apk
2024-10-25 22:46
944K
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk
2024-10-25 22:46
1.8K
mkdocs-rtd-dropdown-1.0.2-r5.apk
2024-10-25 22:46
248K
mkdocs-ivory-pyc-0.4.6-r5.apk
2024-10-25 22:46
1.8K
mkdocs-ivory-0.4.6-r5.apk
2024-10-25 22:46
11K
mkdocs-gitbook-pyc-0.0.1-r5.apk
2024-10-25 22:46
1.8K
mkdocs-gitbook-0.0.1-r5.apk
2024-10-25 22:46
644K
mkdocs-cluster-pyc-0.0.9-r5.apk
2024-10-25 22:46
1.8K
mkdocs-cluster-0.0.9-r5.apk
2024-10-25 22:46
651K
mkdocs-cinder-pyc-1.2.0-r5.apk
2024-10-25 22:46
1.8K
mkdocs-cinder-1.2.0-r5.apk
2024-10-25 22:46
249K
mkdocs-bootswatch-pyc-1.1-r5.apk
2024-10-25 22:46
4.7K
mkdocs-bootswatch-1.1-r5.apk
2024-10-25 22:46
538K
mkdocs-bootstrap4-pyc-0.1.5-r5.apk
2024-10-25 22:46
1.8K
mkdocs-bootstrap4-0.1.5-r5.apk
2024-10-25 22:46
260K
mkdocs-bootstrap386-pyc-0.0.2-r5.apk
2024-10-25 22:46
1.8K
mkdocs-bootstrap386-0.0.2-r5.apk
2024-10-25 22:46
791K
mkdocs-bootstrap-pyc-1.1.1-r2.apk
2024-10-25 22:46
1.8K
mkdocs-bootstrap-1.1.1-r2.apk
2024-10-25 22:46
29K
mkcert-1.4.4-r14.apk
2024-10-25 22:46
1.5M
mjpg-streamer-0_git20210220-r1.apk
2024-10-25 22:46
187K
mir-test-tools-2.15.0-r4.apk
2024-10-25 22:46
212K
mir-dev-2.15.0-r4.apk
2024-10-25 22:46
6.9M
mir-demos-2.15.0-r4.apk
2024-10-25 22:46
117K
mir-2.15.0-r4.apk
2024-10-25 22:46
1.7M
mint-y-theme-xfwm4-2.1.1-r0.apk
2024-10-25 22:46
207K
mint-y-theme-metacity-2.1.1-r0.apk
2024-10-25 22:46
58K
mint-y-theme-gtk4-2.1.1-r0.apk
2024-10-25 22:46
1.6M
mint-y-theme-gtk3-2.1.1-r0.apk
2024-10-25 22:46
1.8M
mint-y-theme-gtk2-2.1.1-r0.apk
2024-10-25 22:46
656K
mint-y-theme-2.1.1-r0.apk
2024-10-25 22:46
4.1K
mint-x-theme-xfwm4-2.1.1-r0.apk
2024-10-25 22:46
35K
mint-x-theme-metacity-2.1.1-r0.apk
2024-10-25 22:46
6.0K
mint-x-theme-gtk4-2.1.1-r0.apk
2024-10-25 22:46
510K
mint-x-theme-gtk3-2.1.1-r0.apk
2024-10-25 22:46
603K
mint-x-theme-gtk2-2.1.1-r0.apk
2024-10-25 22:46
490K
mint-x-theme-2.1.1-r0.apk
2024-10-25 22:46
2.3K
mint-themes-doc-2.1.1-r0.apk
2024-10-25 22:46
13K
mint-themes-2.1.1-r0.apk
2024-10-25 22:46
2.2K
minisatip-openrc-1.3.4-r0.apk
2024-10-25 22:46
1.9K
minisatip-1.3.4-r0.apk
2024-10-25 22:46
316K
minimodem-doc-0.24-r1.apk
2024-10-25 22:46
5.2K
minimodem-0.24-r1.apk
2024-10-25 22:46
21K
minidyndns-openrc-1.3.0-r3.apk
2024-10-25 22:46
2.1K
minidyndns-doc-1.3.0-r3.apk
2024-10-25 22:46
5.3K
minidyndns-1.3.0-r3.apk
2024-10-25 22:46
12K
mimeo-pyc-2023-r2.apk
2024-10-25 22:46
41K
mimeo-2023-r2.apk
2024-10-25 22:46
28K
mimalloc1-insecure-1.8.6-r0.apk
2024-10-25 22:46
62K
mimalloc1-dev-1.8.6-r0.apk
2024-10-25 22:46
840K
mimalloc1-debug-1.8.6-r0.apk
2024-10-25 22:46
181K
mimalloc1-1.8.6-r0.apk
2024-10-25 22:46
68K
milkytracker-doc-1.04.00-r2.apk
2024-10-25 22:46
50K
milkytracker-1.04.00-r2.apk
2024-10-25 22:46
1.0M
metalang99-1.13.3-r0.apk
2024-10-25 22:46
54K
mesonlsp-4.3.7-r0.apk
2024-10-25 22:46
2.1M
mergerfs-doc-2.40.2-r1.apk
2024-10-25 22:46
42K
mergerfs-2.40.2-r1.apk
2024-10-25 22:46
290K
menumaker-0.99.14-r1.apk
2024-10-25 22:46
111K
memdump-doc-1.01-r1.apk
2024-10-25 22:46
3.1K
memdump-1.01-r1.apk
2024-10-25 22:46
5.5K
mediastreamer2-plugin-x264-20200722-r6.apk
2024-10-25 22:46
9.5K
mediastreamer2-plugin-openh264-5.2.0_git20231020-r0.apk
2024-10-25 22:46
12K
mediastreamer2-doc-5.3.41-r0.apk
2024-10-25 22:46
110K
mediastreamer2-dev-5.3.41-r0.apk
2024-10-25 22:46
112K
mediastreamer2-5.3.41-r0.apk
2024-10-25 22:46
375K
mediascanner2-0.115-r0.apk
2024-10-25 22:46
262K
mdp-doc-1.0.15-r1.apk
2024-10-25 22:46
3.7K
mdp-1.0.15-r1.apk
2024-10-25 22:46
17K
mdnsd-static-0.12-r1.apk
2024-10-25 22:46
30K
mdnsd-openrc-0.12-r1.apk
2024-10-25 22:46
2.1K
mdnsd-libs-0.12-r1.apk
2024-10-25 22:46
18K
mdnsd-doc-0.12-r1.apk
2024-10-25 22:46
14K
mdnsd-0.12-r1.apk
2024-10-25 22:46
23K
mdbook-plantuml-0.8.0-r0.apk
2024-10-25 22:46
964K
md5ha1-0_git20171202-r1.apk
2024-10-25 22:46
9.1K
mcqd-dev-1.0.0-r1.apk
2024-10-25 22:46
4.0K
mcqd-1.0.0-r1.apk
2024-10-25 22:46
14K
mcman-doc-0.4.5-r0.apk
2024-10-25 22:46
14K
mcman-0.4.5-r0.apk
2024-10-25 22:46
2.8M
mcjoin-doc-2.11-r0.apk
2024-10-25 22:46
54K
mcjoin-2.11-r0.apk
2024-10-25 22:46
24K
mbrola-3.3-r0.apk
2024-10-25 22:46
22K
maxima-emacs-5.47.0-r8.apk
2024-10-25 22:46
111K
maxima-doc-extra-5.47.0-r8.apk
2024-10-25 22:46
10M
maxima-doc-5.47.0-r8.apk
2024-10-25 22:46
761K
maxima-bash-completion-5.47.0-r8.apk
2024-10-25 22:46
2.4K
maxima-5.47.0-r8.apk
2024-10-25 22:46
21M
materia-light-kde-yakuake-20220823-r0.apk
2024-10-25 22:46
21K
materia-light-kde-plasma-20220823-r0.apk
2024-10-25 22:46
20K
materia-light-kde-kvantum-20220823-r0.apk
2024-10-25 22:46
30K
materia-light-compact-kde-kvantum-20220823-r0.apk
2024-10-25 22:46
1.4K
materia-kde-plasma-20220823-r0.apk
2024-10-25 22:46
1.7M
materia-kde-kvantum-20220823-r0.apk
2024-10-25 22:46
30K
materia-kde-konsole-20220823-r0.apk
2024-10-25 22:46
1.8K
materia-kde-20220823-r0.apk
2024-10-25 22:46
19K
materia-gtk3-20210322-r1.apk
2024-10-25 22:46
65K
materia-gtk2-20210322-r1.apk
2024-10-25 22:46
38K
materia-gtk-theme-20210322-r1.apk
2024-10-25 22:46
151K
materia-gnome-shell-20210322-r1.apk
2024-10-25 22:46
31K
materia-dark-kde-yakuake-20220823-r0.apk
2024-10-25 22:46
22K
materia-dark-kde-plasma-20220823-r0.apk
2024-10-25 22:46
503K
materia-dark-kde-kvantum-20220823-r0.apk
2024-10-25 22:46
30K
materia-dark-kde-konsole-20220823-r0.apk
2024-10-25 22:46
1.8K
materia-dark-gtk3-20210322-r1.apk
2024-10-25 22:46
41K
materia-dark-gtk2-20210322-r1.apk
2024-10-25 22:46
38K
materia-dark-gnome-shell-20210322-r1.apk
2024-10-25 22:46
31K
materia-dark-compact-kde-kvantum-20220823-r0.apk
2024-10-25 22:46
1.4K
materia-dark-compact-gtk3-20210322-r1.apk
2024-10-25 22:46
41K
materia-dark-compact-gtk2-20210322-r1.apk
2024-10-25 22:46
38K
materia-dark-compact-gnome-shell-20210322-r1.apk
2024-10-25 22:46
32K
materia-dark-compact-chromium-20210322-r1.apk
2024-10-25 22:46
5.7K
materia-dark-compact-20210322-r1.apk
2024-10-25 22:46
1.7K
materia-dark-chromium-20210322-r1.apk
2024-10-25 22:46
5.7K
materia-dark-20210322-r1.apk
2024-10-25 22:46
1.7K
materia-compact-gtk3-20210322-r1.apk
2024-10-25 22:46
65K
materia-compact-gtk2-20210322-r1.apk
2024-10-25 22:46
38K
materia-compact-gnome-shell-20210322-r1.apk
2024-10-25 22:46
32K
materia-compact-chromium-20210322-r1.apk
2024-10-25 22:46
5.7K
materia-compact-20210322-r1.apk
2024-10-25 22:46
1.7K
materia-chromium-20210322-r1.apk
2024-10-25 22:46
5.7K
materia-20210322-r1.apk
2024-10-25 22:46
1.7K
mat2-pyc-0.13.4-r3.apk
2024-10-25 22:46
54K
mat2-doc-0.13.4-r3.apk
2024-10-25 22:46
7.7K
mat2-0.13.4-r3.apk
2024-10-25 22:46
35K
masky-pyc-0.2.0-r1.apk
2024-10-25 22:46
64K
masky-0.2.0-r1.apk
2024-10-25 22:46
278K
marxan-4.0.7-r1.apk
2024-10-25 22:46
656K
manifest-tool-2.1.7-r0.apk
2024-10-25 22:46
4.0M
mangr0ve-doc-0.1.2-r0.apk
2024-10-25 22:46
14K
mangr0ve-0.1.2-r0.apk
2024-10-25 22:46
2.8K
mangal-zsh-completion-4.0.6-r13.apk
2024-10-25 22:46
4.0K
mangal-fish-completion-4.0.6-r13.apk
2024-10-25 22:46
3.9K
mangal-bash-completion-4.0.6-r13.apk
2024-10-25 22:46
5.0K
mangal-4.0.6-r13.apk
2024-10-25 22:46
9.8M
makeself-2.5.0-r0.apk
2024-10-25 22:46
13K
mailsec-check-0_git20210729-r21.apk
2024-10-25 22:46
2.3M
maildir2rss-0.0.7-r0.apk
2024-10-25 22:46
3.2M
mage-1.13.0-r18.apk
2024-10-25 22:46
1.5M
maddy-vim-0.7.1-r5.apk
2024-10-25 22:46
3.7K
maddy-openrc-0.7.1-r5.apk
2024-10-25 22:46
2.0K
maddy-doc-0.7.1-r5.apk
2024-10-25 22:46
2.4K
maddy-0.7.1-r5.apk
2024-10-25 22:46
9.2M
ma1sd-openrc-2.5.0-r3.apk
2024-10-25 22:46
2.0K
ma1sd-2.5.0-r3.apk
2024-10-25 22:46
38M
m2r2-pyc-0.3.3-r3.apk
2024-10-25 22:46
16K
m2r2-0.3.3-r3.apk
2024-10-25 22:46
13K
lzfse-dev-1.0-r0.apk
2024-10-25 22:46
3.4K
lzfse-1.0-r0.apk
2024-10-25 22:46
20K
lynis-doc-3.1.1-r0.apk
2024-10-25 22:46
49K
lynis-bash-completion-3.1.1-r0.apk
2024-10-25 22:46
3.0K
lynis-3.1.1-r0.apk
2024-10-25 22:46
271K
lxd-feature-scripts-5.20-r6.apk
2024-10-25 22:46
2.1K
lxd-feature-openrc-5.20-r6.apk
2024-10-25 22:46
2.5K
lxd-feature-doc-5.20-r6.apk
2024-10-25 22:46
1.7K
lxd-feature-bash-completion-5.20-r6.apk
2024-10-25 22:46
5.1K
lxd-feature-5.20-r6.apk
2024-10-25 22:46
65M
lxappearance-lang-0.6.3-r3.apk
2024-10-25 22:46
81K
lxappearance-doc-0.6.3-r3.apk
2024-10-25 22:46
2.6K
lxappearance-dev-0.6.3-r3.apk
2024-10-25 22:46
3.2K
lxappearance-0.6.3-r3.apk
2024-10-25 22:46
29K
lutgen-zsh-completion-0.11.2-r0.apk
2024-10-25 22:46
1.6K
lutgen-fish-completion-0.11.2-r0.apk
2024-10-25 22:46
1.7K
lutgen-doc-0.11.2-r0.apk
2024-10-25 22:46
4.2K
lutgen-bash-completion-0.11.2-r0.apk
2024-10-25 22:46
1.7K
lutgen-0.11.2-r0.apk
2024-10-25 22:46
1.6M
lumina-desktop-textedit-1.6.2-r0.apk
2024-10-25 22:46
187K
lumina-desktop-sudo-1.6.2-r0.apk
2024-10-25 22:46
94K
lumina-desktop-screenshot-1.6.2-r0.apk
2024-10-25 22:46
162K
lumina-desktop-photo-1.6.2-r0.apk
2024-10-25 22:46
121K
lumina-desktop-mediaplayer-1.6.2-r0.apk
2024-10-25 22:46
194K
lumina-desktop-fm-1.6.2-r0.apk
2024-10-25 22:46
384K
lumina-desktop-fileinfo-1.6.2-r0.apk
2024-10-25 22:46
154K
lumina-desktop-doc-1.6.2-r0.apk
2024-10-25 22:46
12K
lumina-desktop-coreutils-1.6.2-r0.apk
2024-10-25 22:46
803K
lumina-desktop-core-1.6.2-r0.apk
2024-10-25 22:46
8.9M
lumina-desktop-archiver-1.6.2-r0.apk
2024-10-25 22:46
160K
lumina-desktop-1.6.2-r0.apk
2024-10-25 22:46
1.5K
luksmeta-doc-9-r0.apk
2024-10-25 22:46
5.7K
luksmeta-dev-9-r0.apk
2024-10-25 22:46
3.3K
luksmeta-9-r0.apk
2024-10-25 22:46
14K
luapak-0.1.0_beta5-r0.apk
2024-10-25 22:46
36K
luacov-html-1.0.0-r1.apk
2024-10-25 22:46
1.4K
luacov-0.15.0-r0.apk
2024-10-25 22:46
1.4K
lua5.4-luastatic-0.0.12-r1.apk
2024-10-25 22:46
8.8K
lua5.4-luacov-0.15.0-r0.apk
2024-10-25 22:46
23K
lua5.4-linenoise-0.9-r1.apk
2024-10-25 22:46
18K
lua5.4-lanes-3.16.0-r1.apk
2024-10-25 22:46
59K
lua5.4-editorconfig-0.3.0-r0.apk
2024-10-25 22:46
4.5K
lua5.3-psl-0.3-r0.apk
2024-10-25 22:46
6.4K
lua5.3-luastatic-0.0.12-r1.apk
2024-10-25 22:46
8.6K
lua5.3-luacov-html-1.0.0-r1.apk
2024-10-25 22:46
421K
lua5.3-luacov-0.15.0-r0.apk
2024-10-25 22:46
23K
lua5.3-linenoise-0.9-r1.apk
2024-10-25 22:46
18K
lua5.3-lanes-3.16.0-r1.apk
2024-10-25 22:46
59K
lua5.3-editorconfig-0.3.0-r0.apk
2024-10-25 22:46
4.6K
lua5.2-xml-1.1.3-r2.apk
2024-10-25 22:46
23K
lua5.2-psl-0.3-r0.apk
2024-10-25 22:46
6.4K
lua5.2-luastatic-0.0.12-r1.apk
2024-10-25 22:46
8.6K
lua5.2-luacov-html-1.0.0-r1.apk
2024-10-25 22:46
421K
lua5.2-luacov-0.15.0-r0.apk
2024-10-25 22:46
23K
lua5.2-linenoise-0.9-r1.apk
2024-10-25 22:46
18K
lua5.2-libmodbus-0.6.1-r0.apk
2024-10-25 22:46
11K
lua5.2-lanes-3.16.0-r1.apk
2024-10-25 22:46
59K
lua5.2-editorconfig-0.3.0-r0.apk
2024-10-25 22:46
4.5K
lua5.1-xml-1.1.3-r2.apk
2024-10-25 22:46
24K
lua5.1-psl-0.3-r0.apk
2024-10-25 22:46
6.5K
lua5.1-luastatic-0.0.12-r1.apk
2024-10-25 22:46
80K
lua5.1-luacov-html-1.0.0-r1.apk
2024-10-25 22:46
421K
lua5.1-luacov-0.15.0-r0.apk
2024-10-25 22:46
23K
lua5.1-linenoise-0.9-r1.apk
2024-10-25 22:46
18K
lua5.1-libmodbus-0.6.1-r0.apk
2024-10-25 22:46
11K
lua5.1-lcurses-9.0.0-r0.apk
2024-10-25 22:46
27K
lua5.1-lanes-3.16.0-r1.apk
2024-10-25 22:46
59K
lua-xml-1.1.3-r2.apk
2024-10-25 22:46
1.4K
lua-psl-0.3-r0.apk
2024-10-25 22:46
1.4K
lua-lut-1.2.1-r0.apk
2024-10-25 22:46
89K
lua-lupa-1.0-r0.apk
2024-10-25 22:46
20K
lua-luastatic-0.0.12-r1.apk
2024-10-25 22:46
1.4K
lua-linenoise-0.9-r1.apk
2024-10-25 22:46
1.4K
lua-libmodbus-doc-0.6.1-r0.apk
2024-10-25 22:46
19K
lua-libmodbus-0.6.1-r0.apk
2024-10-25 22:46
1.4K
lua-lcurses-9.0.0-r0.apk
2024-10-25 22:46
1.4K
lua-lanes-3.16.0-r1.apk
2024-10-25 22:46
1.4K
lua-inet-0.2.0-r1.apk
2024-10-25 22:46
9.1K
lua-fn-0.1.0-r0.apk
2024-10-25 22:46
3.4K
lua-editorconfig-0.3.0-r0.apk
2024-10-25 22:46
1.4K
lsmash-dev-2.14.5-r2.apk
2024-10-25 22:46
592K
lsmash-2.14.5-r2.apk
2024-10-25 22:46
296K
lsix-1.8.2-r0.apk
2024-10-25 22:46
6.5K
lshell-pyc-0.9.18-r11.apk
2024-10-25 22:46
35K
lshell-doc-0.9.18-r11.apk
2024-10-25 22:46
25K
lshell-0.9.18-r11.apk
2024-10-25 22:46
36K
lsdvd-doc-0.17-r0.apk
2024-10-25 22:46
2.4K
lsdvd-0.17-r0.apk
2024-10-25 22:46
13K
lsd-zsh-completion-1.1.5-r0.apk
2024-10-25 22:46
3.4K
lsd-fish-completion-1.1.5-r0.apk
2024-10-25 22:46
3.1K
lsd-bash-completion-1.1.5-r0.apk
2024-10-25 22:46
2.5K
lsd-1.1.5-r0.apk
2024-10-25 22:46
1.2M
lrcalc-libs-2.1-r1.apk
2024-10-25 22:46
26K
lrcalc-dev-2.1-r1.apk
2024-10-25 22:46
11K
lrcalc-2.1-r1.apk
2024-10-25 22:46
11K
lowjs-doc-1.6.2-r2.apk
2024-10-25 22:46
2.9K
lowjs-1.6.2-r2.apk
2024-10-25 22:46
1.3M
lout-doc-3.42.2-r0.apk
2024-10-25 22:46
453K
lout-3.42.2-r0.apk
2024-10-25 22:46
1.4M
lottieconverter-doc-0.2_git20231219-r0.apk
2024-10-25 22:46
2.4K
lottieconverter-0.2_git20231219-r0.apk
2024-10-25 22:46
12K
lomiri-weather-app-lang-5.13.5-r1.apk
2024-10-25 22:46
288K
lomiri-weather-app-5.13.5-r1.apk
2024-10-25 22:46
235K
lomiri-url-dispatcher-lang-0.1.3-r2.apk
2024-10-25 22:46
21K
lomiri-url-dispatcher-dev-0.1.3-r2.apk
2024-10-25 22:46
3.3K
lomiri-url-dispatcher-0.1.3-r2.apk
2024-10-25 22:46
39K
lomiri-ui-toolkit-lang-1.3.5100-r1.apk
2024-10-25 22:46
99K
lomiri-ui-toolkit-dev-1.3.5100-r1.apk
2024-10-25 22:46
173K
lomiri-ui-toolkit-1.3.5100-r1.apk
2024-10-25 22:46
1.3M
lomiri-ui-extras-lang-0.6.3-r1.apk
2024-10-25 22:46
55K
lomiri-ui-extras-0.6.3-r1.apk
2024-10-25 22:46
258K
lomiri-trust-store-lang-2.0.2-r6.apk
2024-10-25 22:46
32K
lomiri-trust-store-dev-2.0.2-r6.apk
2024-10-25 22:46
9.5K
lomiri-trust-store-2.0.2-r6.apk
2024-10-25 22:46
1.0M
lomiri-thumbnailer-doc-3.0.3-r2.apk
2024-10-25 22:46
1.5K
lomiri-thumbnailer-dev-3.0.3-r2.apk
2024-10-25 22:46
5.1K
lomiri-thumbnailer-3.0.3-r2.apk
2024-10-25 22:46
220K
lomiri-system-settings-lang-1.2.0-r0.apk
2024-10-25 22:46
807K
lomiri-system-settings-1.2.0-r0.apk
2024-10-25 22:46
1.0M
lomiri-sounds-22.02-r0.apk
2024-10-25 22:46
18M
lomiri-settings-components-lang-1.1.1-r1.apk
2024-10-25 22:46
100K
lomiri-settings-components-1.1.1-r1.apk
2024-10-25 22:46
223K
lomiri-notifications-1.3.0-r1.apk
2024-10-25 22:46
95K
lomiri-location-service-lang-3.1.0-r7.apk
2024-10-25 22:46
24K
lomiri-location-service-doc-3.1.0-r7.apk
2024-10-25 22:46
2.9K
lomiri-location-service-dev-3.1.0-r7.apk
2024-10-25 22:46
32K
lomiri-location-service-3.1.0-r7.apk
2024-10-25 22:46
2.1M
lomiri-libusermetrics-lang-1.3.3-r0.apk
2024-10-25 22:46
47K
lomiri-libusermetrics-doc-1.3.3-r0.apk
2024-10-25 22:46
230K
lomiri-libusermetrics-dev-1.3.3-r0.apk
2024-10-25 22:46
8.1K
lomiri-libusermetrics-1.3.3-r0.apk
2024-10-25 22:46
168K
lomiri-indicator-network-lang-1.0.2-r2.apk
2024-10-25 22:46
168K
lomiri-indicator-network-doc-1.0.2-r2.apk
2024-10-25 22:46
2.0K
lomiri-indicator-network-dev-1.0.2-r2.apk
2024-10-25 22:46
9.9K
lomiri-indicator-network-1.0.2-r2.apk
2024-10-25 22:46
601K
lomiri-indicator-location-lang-0_git20231227-r0.apk
2024-10-25 22:46
33K
lomiri-indicator-location-0_git20231227-r0.apk
2024-10-25 22:46
29K
lomiri-gallery-app-lang-3.0.2-r0.apk
2024-10-25 22:46
109K
lomiri-gallery-app-3.0.2-r0.apk
2024-10-25 22:46
3.7M
lomiri-filemanager-app-lang-1.0.4-r0.apk
2024-10-25 22:46
175K
lomiri-filemanager-app-1.0.4-r0.apk
2024-10-25 22:46
333K
lomiri-download-manager-lang-0.1.3-r3.apk
2024-10-25 22:46
31K
lomiri-download-manager-doc-0.1.3-r3.apk
2024-10-25 22:46
3.0M
lomiri-download-manager-dev-0.1.3-r3.apk
2024-10-25 22:46
18K
lomiri-download-manager-0.1.3-r3.apk
2024-10-25 22:46
586K
lomiri-docviewer-app-lang-3.0.4-r0.apk
2024-10-25 22:46
119K
lomiri-docviewer-app-doc-3.0.4-r0.apk
2024-10-25 22:46
2.0K
lomiri-docviewer-app-3.0.4-r0.apk
2024-10-25 22:46
226K
lomiri-content-hub-lang-2.0.0-r0.apk
2024-10-25 22:46
42K
lomiri-content-hub-doc-2.0.0-r0.apk
2024-10-25 22:46
901K
lomiri-content-hub-dev-2.0.0-r0.apk
2024-10-25 22:46
11K
lomiri-content-hub-2.0.0-r0.apk
2024-10-25 22:46
283K
lomiri-calculator-app-lang-4.0.2-r0.apk
2024-10-25 22:46
37K
lomiri-calculator-app-4.0.2-r0.apk
2024-10-25 22:46
375K
lomiri-app-launch-dev-0.1.9-r3.apk
2024-10-25 22:46
20K
lomiri-app-launch-0.1.9-r3.apk
2024-10-25 22:46
338K
lomiri-api-dev-0.2.1-r1.apk
2024-10-25 22:46
33K
lomiri-api-0.2.1-r1.apk
2024-10-25 22:46
33K
lomiri-action-api-dev-1.1.3-r1.apk
2024-10-25 22:46
5.4K
lomiri-action-api-1.1.3-r1.apk
2024-10-25 22:46
81K
lolcat-1.4-r0.apk
2024-10-25 22:46
9.3K
lol-html-dev-1.1.1-r1.apk
2024-10-25 22:46
6.5K
lol-html-1.1.1-r1.apk
2024-10-25 22:46
447K
logwatch-doc-7.10-r1.apk
2024-10-25 22:46
38K
logwatch-7.10-r1.apk
2024-10-25 22:46
483K
logtop-libs-0.7-r0.apk
2024-10-25 22:46
15K
logtop-doc-0.7-r0.apk
2024-10-25 22:46
2.8K
logtop-0.7-r0.apk
2024-10-25 22:46
14K
logc-libs-dev-0.1.0-r0.apk
2024-10-25 22:46
6.1K
logc-libs-0.1.0-r0.apk
2024-10-25 22:46
1.4K
logc-libevent-0.1.0-r0.apk
2024-10-25 22:46
3.3K
logc-dev-0.5.0-r0.apk
2024-10-25 22:46
8.9K
logc-czmq-0.1.0-r0.apk
2024-10-25 22:46
4.0K
logc-config-0.5.0-r0.apk
2024-10-25 22:46
5.0K
logc-argp-0.5.0-r0.apk
2024-10-25 22:46
16K
logc-0.5.0-r0.apk
2024-10-25 22:46
8.2K
log4cpp-dev-1.1.4-r1.apk
2024-10-25 22:46
39K
log4cpp-1.1.4-r1.apk
2024-10-25 22:46
75K
lockrun-1.1.3-r1.apk
2024-10-25 22:46
5.1K
llmnrd-openrc-0.7-r1.apk
2024-10-25 22:46
1.9K
llmnrd-doc-0.7-r1.apk
2024-10-25 22:46
3.0K
llmnrd-0.7-r1.apk
2024-10-25 22:46
16K
litterbox-doc-1.9-r1.apk
2024-10-25 22:46
7.2K
litterbox-1.9-r1.apk
2024-10-25 22:46
35K
listenbrainz-mpd-zsh-completion-2.3.8-r0.apk
2024-10-25 22:46
2.1K
listenbrainz-mpd-fish-completion-2.3.8-r0.apk
2024-10-25 22:46
1.8K
listenbrainz-mpd-doc-2.3.8-r0.apk
2024-10-25 22:46
14K
listenbrainz-mpd-bash-completion-2.3.8-r0.apk
2024-10-25 22:46
2.1K
listenbrainz-mpd-2.3.8-r0.apk
2024-10-25 22:46
1.3M
liquibase-doc-4.9.1-r0.apk
2024-10-25 22:46
57K
liquibase-4.9.1-r0.apk
2024-10-25 22:46
32M
linux-timemachine-1.3.2-r0.apk
2024-10-25 22:46
5.0K
linux-apfs-rw-src-0.3.8-r0.apk
2024-10-25 22:46
197K
linphone-libs-5.3.38-r0.apk
2024-10-25 22:46
2.9M
linphone-dev-5.3.38-r0.apk
2024-10-25 22:46
250K
linphone-5.3.38-r0.apk
2024-10-25 22:46
9.0M
linkquisition-1.6.1-r0.apk
2024-10-25 22:46
12M
limnoria-pyc-20240828-r0.apk
2024-10-25 22:46
1.2M
limnoria-doc-20240828-r0.apk
2024-10-25 22:46
8.4K
limnoria-20240828-r0.apk
2024-10-25 22:46
1.1M
licenseheaders-pyc-0.8.8-r4.apk
2024-10-25 22:46
18K
licenseheaders-0.8.8-r4.apk
2024-10-25 22:46
18K
libzn_poly-static-0.9.2-r2.apk
2024-10-25 22:46
85K
libzn_poly-dev-0.9.2-r2.apk
2024-10-25 22:46
8.1K
libzn_poly-0.9.2-r2.apk
2024-10-25 22:46
54K
libxml++-dev-5.0.3-r1.apk
2024-10-25 22:46
30K
libxml++-5.0.3-r1.apk
2024-10-25 22:46
63K
libwmiclient-dev-1.3.16-r5.apk
2024-10-25 22:46
1.7K
libwmiclient-1.3.16-r5.apk
2024-10-25 22:46
1.5K
libwhich-1.2.0-r0.apk
2024-10-25 22:46
4.3K
libwbxml-doc-0.11.8-r0.apk
2024-10-25 22:46
29K
libwbxml-dev-0.11.8-r0.apk
2024-10-25 22:46
9.2K
libwbxml-0.11.8-r0.apk
2024-10-25 22:46
75K
libvoikko-doc-4.3.2-r1.apk
2024-10-25 22:46
5.7K
libvoikko-dev-4.3.2-r1.apk
2024-10-25 22:46
9.9K
libvoikko-4.3.2-r1.apk
2024-10-25 22:46
125K
libvmaf-dev-3.0.0-r0.apk
2024-10-25 22:46
256K
libvmaf-3.0.0-r0.apk
2024-10-25 22:46
330K
libvisio2svg-utils-0.5.5-r3.apk
2024-10-25 22:46
128K
libvisio2svg-dev-0.5.5-r3.apk
2024-10-25 22:45
2.9K
libvisio2svg-0.5.5-r3.apk
2024-10-25 22:45
16K
libvdpau-va-gl-0.4.2-r0.apk
2024-10-25 22:45
59K
libupstart-2.0.3-r5.apk
2024-10-25 22:45
60K
libuninameslist-doc-20230916-r0.apk
2024-10-25 22:45
2.0K
libuninameslist-dev-20230916-r0.apk
2024-10-25 22:45
3.4K
libuninameslist-20230916-r0.apk
2024-10-25 22:45
553K
libuecc-dev-7-r3.apk
2024-10-25 22:45
4.7K
libuecc-7-r3.apk
2024-10-25 22:45
10K
libucl-doc-0.9.0-r0.apk
2024-10-25 22:45
8.8K
libucl-dev-0.9.0-r0.apk
2024-10-25 22:45
133K
libucl-0.9.0-r0.apk
2024-10-25 22:45
54K
libtsm-dev-4.0.2-r1.apk
2024-10-25 22:45
9.5K
libtsm-4.0.2-r1.apk
2024-10-25 22:45
27K
libtommath-dev-1.2.1-r0.apk
2024-10-25 22:45
87K
libtommath-1.2.1-r0.apk
2024-10-25 22:45
41K
libtinycbor-0.6.0-r1.apk
2024-10-25 22:45
22K
libtins-doc-4.5-r1.apk
2024-10-25 22:45
2.3K
libtins-dev-4.5-r1.apk
2024-10-25 22:45
141K
libtins-4.5-r1.apk
2024-10-25 22:45
313K
libtcmu-dev-1.6.0-r6.apk
2024-10-25 22:45
1.5K
libtcmu-1.6.0-r6.apk
2024-10-25 22:45
37K
libsymmetrica-static-3.0.1-r2.apk
2024-10-25 22:45
12M
libsymmetrica-dev-3.0.1-r2.apk
2024-10-25 22:45
32K
libsymmetrica-3.0.1-r2.apk
2024-10-25 22:45
4.1M
libstirshaken-tools-0_git20240208-r2.apk
2024-10-25 22:45
162K
libstirshaken-dev-0_git20240208-r2.apk
2024-10-25 22:45
125K
libstirshaken-0_git20240208-r2.apk
2024-10-25 22:45
53K
libspatialindex-dev-0_git20210205-r1.apk
2024-10-25 22:45
22K
libspatialindex-0_git20210205-r1.apk
2024-10-25 22:45
307K
libsirocco-dev-2.1.0-r2.apk
2024-10-25 22:45
1.9K
libsirocco-2.1.0-r2.apk
2024-10-25 22:45
62K
libsigrokdecode-dev-0.5.3-r4.apk
2024-10-25 22:45
59K
libsigrokdecode-0.5.3-r4.apk
2024-10-25 22:45
335K
libsigrok-dev-0.5.2-r3.apk
2024-10-25 22:45
31K
libsigrok-0.5.2-r3.apk
2024-10-25 22:45
516K
libshadowsocks-libev-3.3.5-r4.apk
2024-10-25 22:45
50K
libserialport-dev-0.1.1-r1.apk
2024-10-25 22:45
61K
libserialport-0.1.1-r1.apk
2024-10-25 22:45
19K
libsemanage-doc-3.6-r1.apk
2024-10-25 22:45
23K
libsemanage-dev-3.6-r1.apk
2024-10-25 22:45
221K
libsemanage-3.6-r1.apk
2024-10-25 22:45
94K
libsds-dev-2.0.0-r1.apk
2024-10-25 22:45
4.0K
libsds-2.0.0-r1.apk
2024-10-25 22:45
10K
libsbsms-dev-2.3.0-r0.apk
2024-10-25 22:45
159K
libsbsms-2.3.0-r0.apk
2024-10-25 22:45
106K
libretro-xrick-0_git20220331-r0.apk
2024-10-25 22:45
123K
libretro-tyrquake-0_git20220409-r0.apk
2024-10-25 22:45
421K
libretro-theodore-3.1-r0.apk
2024-10-25 22:45
871K
libretro-snes9x-0_git20240819-r0.apk
2024-10-25 22:45
690K
libretro-scummvm-0_git20210325-r0.apk
2024-10-25 22:45
21M
libretro-ppsspp-0_git20210516-r14.apk
2024-10-25 22:45
2.2M
libretro-pocketcdg-0_git20220327-r0.apk
2024-10-25 22:45
88K
libretro-picodrive-0_git20220405-r0.apk
2024-10-25 22:45
533K
libretro-opera-0_git20211214-r0.apk
2024-10-25 22:45
192K
libretro-openlara-0_git20210121-r0.apk
2024-10-25 22:45
565K
libretro-nxengine-0_git20220301-r0.apk
2024-10-25 22:45
324K
libretro-neocd-0_git20220325-r1.apk
2024-10-25 22:45
481K
libretro-mu-0_git20220317-r0.apk
2024-10-25 22:45
189K
libretro-mame2003-0_git20240904-r0.apk
2024-10-25 22:45
8.1M
libretro-mame2000-0_git20240701-r0.apk
2024-10-25 22:45
3.4M
libretro-gw-0_git20220410-r0.apk
2024-10-25 22:45
194K
libretro-gong-0_git20220319-r0.apk
2024-10-25 22:45
9.6K
libretro-gme-0_git20240628-r0.apk
2024-10-25 22:45
196K
libretro-genesis-plus-gx-0_git20230503-r0.apk
2024-10-25 22:45
1.1M
libretro-fuse-0_git20220417-r0.apk
2024-10-25 22:45
1.0M
libretro-frodo-0_git20221221-r0.apk
2024-10-25 22:45
168K
libretro-freeintv-0_git20220319-r0.apk
2024-10-25 22:45
37K
libretro-fbneo-0_git20220416-r0.apk
2024-10-25 22:45
12M
libretro-dinothawr-0_git20220401-r0.apk
2024-10-25 22:45
143K
libretro-daphne-0_git20210108-r2.apk
2024-10-25 22:45
628K
libretro-crocods-0_git20210314-r1.apk
2024-10-25 22:45
288K
libretro-cap32-0_git20220419-r0.apk
2024-10-25 22:45
305K
libretro-cannonball-0_git20220309-r6.apk
2024-10-25 22:45
270K
libretro-bluemsx-0_git20240808-r0.apk
2024-10-25 22:45
676K
libretro-beetle-supergrafx-0_git20220218-r0.apk
2024-10-25 22:45
491K
libretro-beetle-pcfx-0_git20220409-r0.apk
2024-10-25 22:45
346K
libretro-beetle-pce-fast-0_git20220205-r0.apk
2024-10-25 22:45
485K
libretro-atari800-0_git20240924-r0.apk
2024-10-25 22:45
291K
libreoffice-voikko-5.0_git20200127-r0.apk
2024-10-25 22:45
45K
libraqm-doc-0.10.2-r0.apk
2024-10-25 22:45
21K
libraqm-dev-0.10.2-r0.apk
2024-10-25 22:45
4.5K
libraqm-0.10.2-r0.apk
2024-10-25 22:45
11K
libqtdbustest-0.3.2-r1.apk
2024-10-25 22:45
32K
libqtdbusmock-0.9.1-r1.apk
2024-10-25 22:45
69K
libqofono-qt6-0.123-r1.apk
2024-10-25 22:45
415K
libqofono-qt5-0.123-r1.apk
2024-10-25 22:45
290K
libqofono-dev-0.123-r1.apk
2024-10-25 22:45
47K
libqofono-0.123-r1.apk
2024-10-25 22:45
1.4K
libppl_c-1.2-r1.apk
2024-10-25 22:45
1.4M
libppl-1.2-r1.apk
2024-10-25 22:45
522K
libopensmtpd-doc-0.7-r0.apk
2024-10-25 22:45
5.2K
libopensmtpd-dev-0.7-r0.apk
2024-10-25 22:45
3.3K
libopensmtpd-0.7-r0.apk
2024-10-25 22:45
19K
liboggz-doc-1.1.1-r2.apk
2024-10-25 22:45
134K
liboggz-dev-1.1.1-r2.apk
2024-10-25 22:45
354K
liboggz-1.1.1-r2.apk
2024-10-25 22:45
101K
libofx-tools-0.10.9-r1.apk
2024-10-25 22:45
104K
libofx-dev-0.10.9-r1.apk
2024-10-25 22:45
20K
libofx-0.10.9-r1.apk
2024-10-25 22:45
63K
libnxml-dev-0.18.3-r0.apk
2024-10-25 22:45
47K
libnxml-0.18.3-r0.apk
2024-10-25 22:45
21K
libntl-static-11.5.1-r4.apk
2024-10-25 22:45
3.4M
libntl-doc-11.5.1-r4.apk
2024-10-25 22:45
374K
libntl-dev-11.5.1-r4.apk
2024-10-25 22:45
159K
libntl-11.5.1-r4.apk
2024-10-25 22:45
1.1M
libnih-doc-1.0.3-r7.apk
2024-10-25 22:45
2.7K
libnih-dev-1.0.3-r7.apk
2024-10-25 22:45
166K
libnih-1.0.3-r7.apk
2024-10-25 22:45
111K
libnfc-tools-1.8.0-r1.apk
2024-10-25 22:45
60K
libnfc-doc-1.8.0-r1.apk
2024-10-25 22:45
22K
libnfc-dev-1.8.0-r1.apk
2024-10-25 22:45
7.9K
libnfc-1.8.0-r1.apk
2024-10-25 22:45
58K
libnest2d-dev-0.4-r6.apk
2024-10-25 22:45
71K
libnest2d-0.4-r6.apk
2024-10-25 22:45
1.4K
libnbcompat-dev-1.0.2-r0.apk
2024-10-25 22:45
93K
libnbcompat-1.0.2-r0.apk
2024-10-25 22:45
34K
libmysofa-tools-1.3.2-r0.apk
2024-10-25 22:45
1.1M
libmysofa-dev-1.3.2-r0.apk
2024-10-25 22:45
7.1K
libmysofa-1.3.2-r0.apk
2024-10-25 22:45
26K
libmygpo-qt-dev-1.1.0-r2.apk
2024-10-25 22:45
12K
libmygpo-qt-1.1.0-r2.apk
2024-10-25 22:45
84K
libmustache-0.5.0-r1.apk
2024-10-25 22:45
129K
libmrss-dev-0.19.2-r1.apk
2024-10-25 22:45
54K
libmrss-0.19.2-r1.apk
2024-10-25 22:45
19K
libmpfi-static-1.5.4-r2.apk
2024-10-25 22:45
93K
libmpfi-doc-1.5.4-r2.apk
2024-10-25 22:45
19K
libmpfi-dev-1.5.4-r2.apk
2024-10-25 22:45
5.4K
libmpfi-1.5.4-r2.apk
2024-10-25 22:45
39K
libmhash-doc-0.9.9.9-r3.apk
2024-10-25 22:45
8.1K
libmhash-dev-0.9.9.9-r3.apk
2024-10-25 22:45
120K
libmhash-0.9.9.9-r3.apk
2024-10-25 22:45
96K
libmedc-python-pyc-4.1.1-r4.apk
2024-10-25 22:45
476K
libmedc-python-4.1.1-r4.apk
2024-10-25 22:45
1.8M
libmedc-doc-4.1.1-r4.apk
2024-10-25 22:45
41M
libmedc-dev-4.1.1-r4.apk
2024-10-25 22:45
38K
libmedc-4.1.1-r4.apk
2024-10-25 22:45
590K
libmdf-dev-1.0.29-r0.apk
2024-10-25 22:45
14K
libmdf-1.0.29-r0.apk
2024-10-25 22:45
35K
libmdbx-doc-0.11.8-r0.apk
2024-10-25 22:45
9.1K
libmdbx-dev-0.11.8-r0.apk
2024-10-25 22:45
93K
libmdbx-dbg-0.11.8-r0.apk
2024-10-25 22:45
2.8M
libmdbx-0.11.8-r0.apk
2024-10-25 22:45
802K
libm4rie-static-20200125-r4.apk
2024-10-25 22:45
278K
libm4rie-dev-20200125-r4.apk
2024-10-25 22:45
24K
libm4rie-20200125-r4.apk
2024-10-25 22:45
175K
libm4ri-static-20240729-r1.apk
2024-10-25 22:45
193K
libm4ri-dev-20240729-r1.apk
2024-10-25 22:45
32K
libm4ri-20240729-r1.apk
2024-10-25 22:45
122K
liblastfm-qt-dev-1.1.10_git20190823-r3.apk
2024-10-25 22:45
20K
liblastfm-qt-1.1.10_git20190823-r3.apk
2024-10-25 22:45
160K
libjodycode-doc-3.1.1-r0.apk
2024-10-25 22:45
3.7K
libjodycode-dev-3.1.1-r0.apk
2024-10-25 22:45
4.2K
libjodycode-3.1.1-r0.apk
2024-10-25 22:45
7.8K
libiscsi-utils-1.19.0-r2.apk
2024-10-25 22:45
84K
libiscsi-static-1.19.0-r2.apk
2024-10-25 22:45
123K
libiscsi-doc-1.19.0-r2.apk
2024-10-25 22:45
9.3K
libiscsi-dev-1.19.0-r2.apk
2024-10-25 22:45
20K
libiscsi-1.19.0-r2.apk
2024-10-25 22:45
59K
libiml-static-1.0.5-r3.apk
2024-10-25 22:45
146K
libiml-dev-1.0.5-r3.apk
2024-10-25 22:45
3.9K
libiml-1.0.5-r3.apk
2024-10-25 22:45
84K
libiio-tools-0.25-r2.apk
2024-10-25 22:45
85K
libiio-pyc-0.25-r2.apk
2024-10-25 22:45
21K
libiio-doc-0.25-r2.apk
2024-10-25 22:45
18K
libiio-dev-0.25-r2.apk
2024-10-25 22:45
13K
libiio-0.25-r2.apk
2024-10-25 22:45
53K
libhwpwm-doc-0.4.4-r0.apk
2024-10-25 22:45
14K
libhwpwm-dev-0.4.4-r0.apk
2024-10-25 22:45
7.6K
libhwpwm-0.4.4-r0.apk
2024-10-25 22:45
5.5K
libhomfly-dev-1.02_p6-r1.apk
2024-10-25 22:45
29K
libhomfly-1.02_p6-r1.apk
2024-10-25 22:45
16K
libgrapheme-doc-1-r0.apk
2024-10-25 22:45
8.2K
libgrapheme-dev-1-r0.apk
2024-10-25 22:45
12K
libgrapheme-1-r0.apk
2024-10-25 22:45
10K
libgivaro-static-4.2.0-r2.apk
2024-10-25 22:45
160K
libgivaro-dev-4.2.0-r2.apk
2024-10-25 22:45
245K
libgivaro-4.2.0-r2.apk
2024-10-25 22:45
76K
libfyaml-doc-0.9-r0.apk
2024-10-25 22:45
7.4K
libfyaml-dev-0.9-r0.apk
2024-10-25 22:45
42K
libfyaml-0.9-r0.apk
2024-10-25 22:45
295K
libfort-dev-0.4.2-r0.apk
2024-10-25 22:45
18K
libfort-0.4.2-r0.apk
2024-10-25 22:45
32K
libfoma-0.10.0_git20240712-r0.apk
2024-10-25 22:45
104K
libfishsound-doc-1.0.0-r1.apk
2024-10-25 22:45
76K
libfishsound-dev-1.0.0-r1.apk
2024-10-25 22:45
112K
libfishsound-1.0.0-r1.apk
2024-10-25 22:45
10K
libettercap-0.8.3.1-r3.apk
2024-10-25 22:45
199K
liberasurecode-dev-1.6.3-r1.apk
2024-10-25 22:45
18K
liberasurecode-1.6.3-r1.apk
2024-10-25 22:45
42K
libemf2svg-utils-1.1.0-r2.apk
2024-10-25 22:45
18K
libemf2svg-1.1.0-r2.apk
2024-10-25 22:45
157K
libecm-7.0.5-r1.apk
2024-10-25 22:45
231K
libecap-static-1.0.1-r1.apk
2024-10-25 22:45
27K
libecap-dev-1.0.1-r1.apk
2024-10-25 22:45
11K
libecap-1.0.1-r1.apk
2024-10-25 22:45
14K
libeantic-dev-2.0.2-r1.apk
2024-10-25 22:45
18K
libeantic-2.0.2-r1.apk
2024-10-25 22:45
74K
libcyaml-static-1.4.2-r0.apk
2024-10-25 22:45
44K
libcyaml-doc-1.4.2-r0.apk
2024-10-25 22:45
8.6K
libcyaml-dev-1.4.2-r0.apk
2024-10-25 22:45
13K
libcyaml-1.4.2-r0.apk
2024-10-25 22:45
21K
libctl-doc-4.5.1-r1.apk
2024-10-25 22:45
3.0K
libctl-dev-4.5.1-r1.apk
2024-10-25 22:45
39K
libctl-4.5.1-r1.apk
2024-10-25 22:45
99K
libcotp-dev-3.1.0-r0.apk
2024-10-25 22:45
2.5K
libcotp-3.1.0-r0.apk
2024-10-25 22:45
8.1K
libcorkipset-tools-1.1.1-r4.apk
2024-10-25 22:45
11K
libcorkipset-dev-1.1.1-r4.apk
2024-10-25 22:45
8.1K
libcorkipset-1.1.1-r4.apk
2024-10-25 22:45
13K
libcork-tools-0.15.0-r7.apk
2024-10-25 22:45
4.6K
libcork-dev-0.15.0-r7.apk
2024-10-25 22:45
30K
libcork-0.15.0-r7.apk
2024-10-25 22:45
35K
libcli-1.10.7-r0.apk
2024-10-25 22:45
31K
libbsoncxx-dev-3.8.0-r0.apk
2024-10-25 22:45
39K
libbsoncxx-3.8.0-r0.apk
2024-10-25 22:45
44K
libbloom-dev-2.0-r0.apk
2024-10-25 22:45
3.5K
libbloom-2.0-r0.apk
2024-10-25 22:45
8.6K
libbamf-doc-0.5.6-r1.apk
2024-10-25 22:45
31K
libbamf-dev-0.5.6-r1.apk
2024-10-25 22:45
6.4K
libbamf-0.5.6-r1.apk
2024-10-25 22:45
153K
libb64-doc-2.0.0.1-r0.apk
2024-10-25 22:45
8.0K
libb64-dev-2.0.0.1-r0.apk
2024-10-25 22:45
6.7K
libb64-2.0.0.1-r0.apk
2024-10-25 22:45
4.3K
libaudec-tools-0.3.4-r3.apk
2024-10-25 22:45
28K
libaudec-static-0.3.4-r3.apk
2024-10-25 22:45
43K
libaudec-dev-0.3.4-r3.apk
2024-10-25 22:45
4.3K
libaudec-0.3.4-r3.apk
2024-10-25 22:45
28K
libarb-static-2.23.0-r2.apk
2024-10-25 22:45
3.2M
libarb-dev-2.23.0-r2.apk
2024-10-25 22:45
54K
libarb-2.23.0-r2.apk
2024-10-25 22:45
1.6M
libantlr3c-dev-3.4-r3.apk
2024-10-25 22:45
58K
libantlr3c-3.4-r3.apk
2024-10-25 22:45
53K
libantic-dev-0.2.5-r0.apk
2024-10-25 22:45
6.3K
libantic-0.2.5-r0.apk
2024-10-25 22:45
47K
libabigail-tools-2.3-r0.apk
2024-10-25 22:45
114K
libabigail-doc-2.3-r0.apk
2024-10-25 22:45
61K
libabigail-dev-2.3-r0.apk
2024-10-25 22:45
2.4M
libabigail-bash-completion-2.3-r0.apk
2024-10-25 22:45
2.9K
libabigail-2.3-r0.apk
2024-10-25 22:45
864K
lfm-pyc-3.1-r4.apk
2024-10-25 22:45
134K
lfm-doc-3.1-r4.apk
2024-10-25 22:45
2.7K
lfm-3.1-r4.apk
2024-10-25 22:45
88K
levmar-dev-2.6-r0.apk
2024-10-25 22:45
83K
legume-doc-1.4.2-r4.apk
2024-10-25 22:45
12K
legume-1.4.2-r4.apk
2024-10-25 22:45
1.4M
ledmon-doc-1.0.0-r0.apk
2024-10-25 22:45
14K
ledmon-1.0.0-r0.apk
2024-10-25 22:45
81K
ldapdomaindump-pyc-0.9.4-r1.apk
2024-10-25 22:45
31K
ldapdomaindump-0.9.4-r1.apk
2024-10-25 22:45
18K
lcalc-libs-2.0.5-r2.apk
2024-10-25 22:45
232K
lcalc-doc-2.0.5-r2.apk
2024-10-25 22:45
437K
lcalc-dev-2.0.5-r2.apk
2024-10-25 22:45
57K
lcalc-2.0.5-r2.apk
2024-10-25 22:45
196K
kubesplit-pyc-0.3.3-r1.apk
2024-10-25 22:45
13K
kubesplit-0.3.3-r1.apk
2024-10-25 22:45
13K
kubepug-zsh-completion-1.7.1-r5.apk
2024-10-25 22:45
4.0K
kubepug-fish-completion-1.7.1-r5.apk
2024-10-25 22:45
4.3K
kubepug-bash-completion-1.7.1-r5.apk
2024-10-25 22:45
5.1K
kubepug-1.7.1-r5.apk
2024-10-25 22:45
15M
kubeconform-0.6.6-r2.apk
2024-10-25 22:45
3.0M
kube-no-trouble-0.7.3-r0.apk
2024-10-25 22:45
12M
ktx-libs-4.3.2-r0.apk
2024-10-25 22:45
1.4M
ktx-dev-4.3.2-r0.apk
2024-10-25 22:45
29K
ktx-4.3.2-r0.apk
2024-10-25 22:45
1.2M
krita-plugin-gmic-3.2.4.1-r3.apk
2024-10-25 22:45
2.7M
kondo-zsh-completion-0.8-r0.apk
2024-10-25 22:45
2.4K
kondo-fish-completion-0.8-r0.apk
2024-10-25 22:45
2.1K
kondo-bash-completion-0.8-r0.apk
2024-10-25 22:45
2.1K
kondo-0.8-r0.apk
2024-10-25 22:45
704K
kompose-zsh-completion-1.31.2-r5.apk
2024-10-25 22:45
6.8K
kompose-fish-completion-1.31.2-r5.apk
2024-10-25 22:45
4.4K
kompose-bash-completion-1.31.2-r5.apk
2024-10-25 22:45
5.6K
kompose-1.31.2-r5.apk
2024-10-25 22:45
6.5M
kmscon-doc-9.0.0-r0.apk
2024-10-25 22:45
7.7K
kmscon-9.0.0-r0.apk
2024-10-25 22:45
794K
kjv-0_git20221103-r0.apk
2024-10-25 22:45
1.5M
kirc-doc-0.3.2-r0.apk
2024-10-25 22:45
2.6K
kirc-0.3.2-r0.apk
2024-10-25 22:45
11K
kine-doc-0.10.1-r8.apk
2024-10-25 22:45
5.1K
kine-0.10.1-r8.apk
2024-10-25 22:45
7.3M
kimchi-pyc-3.0.0-r7.apk
2024-10-25 22:45
479K
kimchi-lang-3.0.0-r7.apk
2024-10-25 22:45
172K
kimchi-3.0.0-r7.apk
2024-10-25 22:45
536K
khronos-lang-4.0.1-r0.apk
2024-10-25 22:45
26K
khronos-4.0.1-r0.apk
2024-10-25 22:45
55K
khinsider-2.0.7-r15.apk
2024-10-25 22:45
3.1M
kgraphviewer-lang-2.5.0-r0.apk
2024-10-25 22:45
230K
kgraphviewer-dev-2.5.0-r0.apk
2024-10-25 22:45
6.3K
kgraphviewer-2.5.0-r0.apk
2024-10-25 22:45
1.3M
kfc-0.1.4-r0.apk
2024-10-25 22:45
57K
keydb-openrc-6.3.4-r0.apk
2024-10-25 22:45
2.6K
keydb-cli-6.3.4-r0.apk
2024-10-25 22:45
391K
keydb-benchmark-6.3.4-r0.apk
2024-10-25 22:45
444K
keydb-6.3.4-r0.apk
2024-10-25 22:45
1.1M
keybase-client-6.2.8-r5.apk
2024-10-25 22:45
17M
kerberoast-pyc-0.2.0-r1.apk
2024-10-25 22:45
15K
kerberoast-0.2.0-r1.apk
2024-10-25 22:45
9.6K
keepassxc-browser-1.8.9-r0.apk
2024-10-25 22:45
876K
kdiskmark-lang-3.1.4-r1.apk
2024-10-25 22:45
27K
kdiskmark-3.1.4-r1.apk
2024-10-25 22:45
160K
katarakt-0.2-r0.apk
2024-10-25 22:45
86K
katana-1.1.0-r4.apk
2024-10-25 22:45
13M
kapow-0.7.1-r8.apk
2024-10-25 22:45
3.2M
kannel-doc-1.5.0-r11.apk
2024-10-25 22:45
6.2K
kannel-dev-1.5.0-r11.apk
2024-10-25 22:45
1.4M
kannel-1.5.0-r11.apk
2024-10-25 22:45
6.2M
kabmat-doc-2.7.0-r0.apk
2024-10-25 22:45
3.5K
kabmat-2.7.0-r0.apk
2024-10-25 22:45
63K
k3sup-zsh-completion-0.13.6-r0.apk
2024-10-25 22:45
4.0K
k3sup-fish-completion-0.13.6-r0.apk
2024-10-25 22:45
4.3K
k3sup-bash-completion-0.13.6-r0.apk
2024-10-25 22:45
5.0K
k3sup-0.13.6-r0.apk
2024-10-25 22:45
2.4M
k2-0_git20220807-r1.apk
2024-10-25 22:45
101K
junit2html-pyc-31.0.2-r0.apk
2024-10-25 22:45
23K
junit2html-31.0.2-r0.apk
2024-10-25 22:45
17K
jsonnet-language-server-0.14.1-r0.apk
2024-10-25 22:45
4.0M
jsonnet-bundler-0.6.0-r0.apk
2024-10-25 22:45
2.9M
json2tsv-jaq-doc-1.2-r0.apk
2024-10-25 22:45
2.3K
json2tsv-jaq-1.2-r0.apk
2024-10-25 22:45
1.9K
json2tsv-doc-1.2-r0.apk
2024-10-25 22:45
5.2K
json2tsv-1.2-r0.apk
2024-10-25 22:45
6.7K
jsmn-1.1.0-r2.apk
2024-10-25 22:45
4.7K
jotdown-0.4.0-r0.apk
2024-10-25 22:45
225K
jhead-doc-3.08-r0.apk
2024-10-25 22:45
7.9K
jhead-3.08-r0.apk
2024-10-25 22:45
34K
jfrog-cli-2.45.0-r7.apk
2024-10-25 22:45
8.7M
jdupes-doc-1.28.0-r0.apk
2024-10-25 22:45
9.0K
jdupes-1.28.0-r0.apk
2024-10-25 22:45
28K
jdebp-redo-doc-1.4-r1.apk
2024-10-25 22:45
8.6K
jdebp-redo-1.4-r1.apk
2024-10-25 22:45
100K
jbigkit-doc-2.1-r2.apk
2024-10-25 22:45
7.3K
jbigkit-dev-2.1-r2.apk
2024-10-25 22:45
51K
jbigkit-2.1-r2.apk
2024-10-25 22:45
69K
java-jtharness-examples-6.0_p12-r0.apk
2024-10-25 22:45
219K
java-jtharness-doc-6.0_p12-r0.apk
2024-10-25 22:45
12K
java-jtharness-6.0_p12-r0.apk
2024-10-25 22:45
4.0M
java-asmtools-doc-8.0.09-r0.apk
2024-10-25 22:45
6.9K
java-asmtools-8.0.09-r0.apk
2024-10-25 22:45
574K
jalv-gtk-1.6.8-r1.apk
2024-10-25 22:45
33K
jalv-doc-1.6.8-r1.apk
2024-10-25 22:45
3.2K
jalv-1.6.8-r1.apk
2024-10-25 22:45
50K
jackdaw-pyc-0.3.1-r1.apk
2024-10-25 22:45
369K
jackdaw-0.3.1-r1.apk
2024-10-25 22:45
2.0M
jackal-openrc-0.64.0-r10.apk
2024-10-25 22:45
1.8K
jackal-0.64.0-r10.apk
2024-10-25 22:45
11M
jack_capture-0.9.73_git20210429-r2.apk
2024-10-25 22:45
30K
it87-src-1_p20240609-r0.apk
2024-10-25 22:45
30K
isomd5sum-doc-1.2.3-r3.apk
2024-10-25 22:45
3.0K
isomd5sum-1.2.3-r3.apk
2024-10-25 22:45
30K
isoinfo-0_git20131217-r1.apk
2024-10-25 22:45
6.4K
irctk-transport-fossil-1.1.0-r0.apk
2024-10-25 22:45
15K
irctk-doc-1.1.0-r0.apk
2024-10-25 22:45
16K
irctk-1.1.0-r0.apk
2024-10-25 22:45
29K
ircdog-0.5.4-r0.apk
2024-10-25 22:45
2.1M
ircd-hybrid-doc-8.2.45-r1.apk
2024-10-25 22:45
3.6K
ircd-hybrid-8.2.45-r1.apk
2024-10-25 22:45
333K
irccd-openrc-4.0.3-r0.apk
2024-10-25 22:45
1.8K
irccd-doc-4.0.3-r0.apk
2024-10-25 22:45
80K
irccd-dev-4.0.3-r0.apk
2024-10-25 22:45
9.6K
irccd-4.0.3-r0.apk
2024-10-25 22:45
274K
iprange-doc-1.0.4-r1.apk
2024-10-25 22:45
4.5K
iprange-1.0.4-r1.apk
2024-10-25 22:45
20K
ip2location-doc-8.6.1-r0.apk
2024-10-25 22:45
2.7K
ip2location-dev-8.6.1-r0.apk
2024-10-25 22:45
18K
ip2location-8.6.1-r0.apk
2024-10-25 22:45
26K
invidtui-0.4.6-r0.apk
2024-10-25 22:45
3.7M
interception-tools-openrc-0.6.8-r2.apk
2024-10-25 22:45
1.7K
interception-tools-0.6.8-r2.apk
2024-10-25 22:45
112K
initify-0_git20171210-r1.apk
2024-10-25 22:45
3.2K
imrsh-dbg-0_git20210320-r1.apk
2024-10-25 22:45
20K
imrsh-0_git20210320-r1.apk
2024-10-25 22:45
8.7K
imgdiff-doc-1.0.2-r21.apk
2024-10-25 22:45
2.3K
imgdiff-1.0.2-r21.apk
2024-10-25 22:45
961K
imediff-pyc-2.6-r1.apk
2024-10-25 22:45
44K
imediff-doc-2.6-r1.apk
2024-10-25 22:45
6.5K
imediff-2.6-r1.apk
2024-10-25 22:45
42K
imapfilter-doc-2.8.2-r0.apk
2024-10-25 22:45
13K
imapfilter-2.8.2-r0.apk
2024-10-25 22:45
41K
ijq-doc-1.1.0-r3.apk
2024-10-25 22:45
3.5K
ijq-1.1.0-r3.apk
2024-10-25 22:45
1.4M
idesk-1-r1.apk
2024-10-25 22:45
73K
icmake-doc-9.03.01-r0.apk
2024-10-25 22:45
127K
icmake-9.03.01-r0.apk
2024-10-25 22:45
120K
icingaweb2-module-pnp-doc-1.1.0-r1.apk
2024-10-25 22:45
1.8K
icingaweb2-module-pnp-1.1.0-r1.apk
2024-10-25 22:45
9.1K
icingaweb2-module-generictts-doc-2.1.0-r0.apk
2024-10-25 22:45
1.8K
icingaweb2-module-generictts-2.1.0-r0.apk
2024-10-25 22:45
6.6K
icingaweb2-module-fileshipper-doc-1.2.0-r3.apk
2024-10-25 22:45
231K
icingaweb2-module-fileshipper-1.2.0-r3.apk
2024-10-25 22:45
11K
icingaweb2-module-businessprocess-doc-2.4.0-r0.apk
2024-10-25 22:45
2.2M
icingaweb2-module-businessprocess-2.4.0-r0.apk
2024-10-25 22:45
116K
icestorm-0_git20240517-r0.apk
2024-10-25 22:45
17M
icesprog-udev-0_git20240108-r1.apk
2024-10-25 22:45
1.9K
icesprog-0_git20240108-r1.apk
2024-10-25 22:45
9.2K
i2util-doc-4.2.1-r1.apk
2024-10-25 22:45
4.7K
i2util-dev-4.2.1-r1.apk
2024-10-25 22:45
66K
i2util-4.2.1-r1.apk
2024-10-25 22:45
22K
hyx-doc-2024.02.29-r0.apk
2024-10-25 22:45
2.2K
hyx-2024.02.29-r0.apk
2024-10-25 22:45
17K
hypnotix-lang-3.5-r0.apk
2024-10-25 22:45
72K
hypnotix-3.5-r0.apk
2024-10-25 22:45
110K
hyfetch-zsh-completion-1.99.0-r1.apk
2024-10-25 22:45
2.5K
hyfetch-pyc-1.99.0-r1.apk
2024-10-25 22:45
180K
hyfetch-doc-1.99.0-r1.apk
2024-10-25 22:45
17K
hyfetch-bash-completion-1.99.0-r1.apk
2024-10-25 22:45
3.3K
hyfetch-1.99.0-r1.apk
2024-10-25 22:45
433K
hy-pyc-1.0.0-r0.apk
2024-10-25 22:45
169K
hy-1.0.0-r0.apk
2024-10-25 22:45
85K
hx-doc-1.0.15-r0.apk
2024-10-25 22:45
4.8K
hx-1.0.15-r0.apk
2024-10-25 22:45
15K
hw-probe-1.6.5-r2.apk
2024-10-25 22:45
117K
hunspell-es-ar-doc-2.7-r0.apk
2024-10-25 22:45
2.8K
hunspell-es-ar-2.7-r0.apk
2024-10-25 22:45
226K
hunspell-ca-es-3.0.7-r0.apk
2024-10-25 22:45
734K
hubble-cli-zsh-completion-0.13.6-r0.apk
2024-10-25 22:45
4.0K
hubble-cli-fish-completion-0.13.6-r0.apk
2024-10-25 22:45
4.3K
hubble-cli-bash-completion-0.13.6-r0.apk
2024-10-25 22:45
5.1K
hubble-cli-0.13.6-r0.apk
2024-10-25 22:45
17M
hub-zsh-completion-2.14.2-r26.apk
2024-10-25 22:45
3.7K
hub-fish-completion-2.14.2-r26.apk
2024-10-25 22:45
3.3K
hub-doc-2.14.2-r26.apk
2024-10-25 22:45
43K
hub-bash-completion-2.14.2-r26.apk
2024-10-25 22:45
4.6K
hub-2.14.2-r26.apk
2024-10-25 22:45
2.6M
httrack-doc-3.49.2-r5.apk
2024-10-25 22:45
528K
httrack-3.49.2-r5.apk
2024-10-25 22:45
752K
httpie-oauth-pyc-1.0.2-r9.apk
2024-10-25 22:45
2.3K
httpie-oauth-1.0.2-r9.apk
2024-10-25 22:45
3.3K
htslib-tools-1.19-r0.apk
2024-10-25 22:45
1.3M
htslib-static-1.19-r0.apk
2024-10-25 22:45
828K
htslib-doc-1.19-r0.apk
2024-10-25 22:45
23K
htslib-dev-1.19-r0.apk
2024-10-25 22:45
115K
htslib-1.19-r0.apk
2024-10-25 22:45
390K
htmlcxx-dev-0.87-r1.apk
2024-10-25 22:45
21K
htmlcxx-0.87-r1.apk
2024-10-25 22:45
67K
hstdb-2.1.0-r2.apk
2024-10-25 22:45
947K
hsetroot-1.0.5-r1.apk
2024-10-25 22:45
10K
hpnssh-doc-18.4.1-r0.apk
2024-10-25 22:45
98K
hpnssh-18.4.1-r0.apk
2024-10-25 22:45
2.2M
hping3-doc-20051105-r4.apk
2024-10-25 22:45
17K
hping3-20051105-r4.apk
2024-10-25 22:45
79K
howard-bc-doc-7.0.3-r0.apk
2024-10-25 22:45
39K
howard-bc-7.0.3-r0.apk
2024-10-25 22:45
70K
horizon-tools-0.9.6-r9.apk
2024-10-25 22:45
80K
horizon-image-0.9.6-r9.apk
2024-10-25 22:45
67K
horizon-doc-0.9.6-r9.apk
2024-10-25 22:45
21K
horizon-dev-0.9.6-r9.apk
2024-10-25 22:45
4.9K
horizon-dbg-0.9.6-r9.apk
2024-10-25 22:45
4.1M
horizon-0.9.6-r9.apk
2024-10-25 22:45
203K
hopalong-0.1-r3.apk
2024-10-25 22:45
22K
hiprompt-gtk-py-0.8.0-r0.apk
2024-10-25 22:45
8.3K
hikari-unlocker-2.3.3-r6.apk
2024-10-25 22:45
3.9K
hikari-doc-2.3.3-r6.apk
2024-10-25 22:45
14K
hikari-2.3.3-r6.apk
2024-10-25 22:45
942K
hidrd-dev-0.2.0_git20190603-r1.apk
2024-10-25 22:45
170K
hidrd-0.2.0_git20190603-r1.apk
2024-10-25 22:45
75K
hiawatha-openrc-11.6-r0.apk
2024-10-25 22:45
1.7K
hiawatha-letsencrypt-11.6-r0.apk
2024-10-25 22:45
18K
hiawatha-doc-11.6-r0.apk
2024-10-25 22:45
21K
hiawatha-11.6-r0.apk
2024-10-25 22:45
198K
hfst-libs-3.16.0-r2.apk
2024-10-25 22:45
1.7M
hfst-doc-3.16.0-r2.apk
2024-10-25 22:45
71K
hfst-dev-3.16.0-r2.apk
2024-10-25 22:45
213K
hfst-3.16.0-r2.apk
2024-10-25 22:45
1.3M
hexedit-doc-1.6_git20230905-r0.apk
2024-10-25 22:45
5.6K
hexedit-1.6_git20230905-r0.apk
2024-10-25 22:45
17K
hexdiff-doc-0.0.53-r2.apk
2024-10-25 22:45
3.7K
hexdiff-0.0.53-r2.apk
2024-10-25 22:45
15K
herbe-1.0.0-r0.apk
2024-10-25 22:45
5.5K
helm-ls-doc-0.0.12-r4.apk
2024-10-25 22:45
2.2K
helm-ls-0.0.12-r4.apk
2024-10-25 22:45
10M
heisenbridge-pyc-1.14.6-r0.apk
2024-10-25 22:45
152K
heisenbridge-1.14.6-r0.apk
2024-10-25 22:45
67K
hdf4-tools-4.2.15-r2.apk
2024-10-25 22:45
194K
hdf4-doc-4.2.15-r2.apk
2024-10-25 22:45
6.0K
hdf4-dev-4.2.15-r2.apk
2024-10-25 22:45
101K
hdf4-4.2.15-r2.apk
2024-10-25 22:45
258K
hddfancontrol-pyc-1.6.2-r0.apk
2024-10-25 22:45
34K
hddfancontrol-openrc-1.6.2-r0.apk
2024-10-25 22:45
2.2K
hddfancontrol-1.6.2-r0.apk
2024-10-25 22:45
33K
hatop-doc-0.8.2-r0.apk
2024-10-25 22:45
3.0K
hatop-0.8.2-r0.apk
2024-10-25 22:45
18K
hashcat-doc-6.2.6-r0.apk
2024-10-25 22:45
2.1M
hashcat-6.2.6-r0.apk
2024-10-25 22:45
64M
harminv-libs-1.4.2-r1.apk
2024-10-25 22:44
29K
harminv-doc-1.4.2-r1.apk
2024-10-25 22:44
5.6K
harminv-dev-1.4.2-r1.apk
2024-10-25 22:44
3.1K
harminv-1.4.2-r1.apk
2024-10-25 22:44
7.6K
handlebars-utils-1.0.0-r1.apk
2024-10-25 22:44
9.6K
handlebars-dev-1.0.0-r1.apk
2024-10-25 22:44
32K
handlebars-1.0.0-r1.apk
2024-10-25 22:44
104K
hamster-time-tracker-pyc-3.0.3-r2.apk
2024-10-25 22:44
358K
hamster-time-tracker-lang-3.0.3-r2.apk
2024-10-25 22:44
206K
hamster-time-tracker-doc-3.0.3-r2.apk
2024-10-25 22:44
116K
hamster-time-tracker-bash-completion-3.0.3-r2.apk
2024-10-25 22:44
2.0K
hamster-time-tracker-3.0.3-r2.apk
2024-10-25 22:44
156K
halp-zsh-completion-0.2.0-r0.apk
2024-10-25 22:44
2.4K
halp-fish-completion-0.2.0-r0.apk
2024-10-25 22:44
2.0K
halp-doc-0.2.0-r0.apk
2024-10-25 22:44
6.9K
halp-bash-completion-0.2.0-r0.apk
2024-10-25 22:44
2.2K
halp-0.2.0-r0.apk
2024-10-25 22:44
941K
h4h5tools-static-2.2.5-r4.apk
2024-10-25 22:44
184K
h4h5tools-doc-2.2.5-r4.apk
2024-10-25 22:44
2.7K
h4h5tools-dev-2.2.5-r4.apk
2024-10-25 22:44
8.8K
h4h5tools-2.2.5-r4.apk
2024-10-25 22:44
107K
gx-go-doc-1.9.0-r27.apk
2024-10-25 22:44
2.3K
gx-go-1.9.0-r27.apk
2024-10-25 22:44
4.5M
gx-doc-0.14.3-r25.apk
2024-10-25 22:44
2.3K
gx-0.14.3-r25.apk
2024-10-25 22:44
4.3M
gutenprint-static-5.3.4-r5.apk
2024-10-25 22:44
1.4M
gutenprint-samples-5.3.4-r5.apk
2024-10-25 22:44
638K
gutenprint-libs-5.3.4-r5.apk
2024-10-25 22:44
621K
gutenprint-lang-5.3.4-r5.apk
2024-10-25 22:44
1.9M
gutenprint-doc-5.3.4-r5.apk
2024-10-25 22:44
8.4K
gutenprint-dev-5.3.4-r5.apk
2024-10-25 22:44
36K
gutenprint-cups-5.3.4-r5.apk
2024-10-25 22:44
49M
gutenprint-5.3.4-r5.apk
2024-10-25 22:44
812K
guetzli-dev-0_git20191025-r1.apk
2024-10-25 22:44
5.1M
guetzli-0_git20191025-r1.apk
2024-10-25 22:44
152K
guake-pyc-3.10-r1.apk
2024-10-25 22:44
186K
guake-lang-3.10-r1.apk
2024-10-25 22:44
188K
guake-3.10-r1.apk
2024-10-25 22:44
305K
gtkwave-doc-3.3.120-r0.apk
2024-10-25 22:44
27K
gtkwave-3.3.120-r0.apk
2024-10-25 22:44
2.6M
gtklock-doc-2.1.0-r0.apk
2024-10-25 22:44
2.9K
gtklock-2.1.0-r0.apk
2024-10-25 22:44
17K
gtkhash-lang-1.5-r0.apk
2024-10-25 22:44
47K
gtkhash-1.5-r0.apk
2024-10-25 22:44
86K
gstreamermm-dev-1.10.0-r4.apk
2024-10-25 22:44
320K
gstreamermm-1.10.0-r4.apk
2024-10-25 22:44
480K
gsimplecal-doc-2.5.1-r0.apk
2024-10-25 22:44
5.8K
gsimplecal-2.5.1-r0.apk
2024-10-25 22:44
16K
gsettings-qt-dev-0.2_git20220807-r1.apk
2024-10-25 22:44
3.6K
gsettings-qt-0.2_git20220807-r1.apk
2024-10-25 22:44
45K
gron-0.7.1-r19.apk
2024-10-25 22:44
2.3M
grlx-sprout-openrc-1.0.5-r0.apk
2024-10-25 22:44
1.6K
grlx-sprout-1.0.5-r0.apk
2024-10-25 22:44
6.5M
grlx-farmer-openrc-1.0.5-r0.apk
2024-10-25 22:44
1.6K
grlx-farmer-1.0.5-r0.apk
2024-10-25 22:44
13M
grlx-1.0.5-r0.apk
2024-10-25 22:44
14M
grip-lang-4.2.4-r0.apk
2024-10-25 22:44
144K
grip-doc-4.2.4-r0.apk
2024-10-25 22:44
6.2K
grip-4.2.4-r0.apk
2024-10-25 22:44
380K
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk
2024-10-25 22:44
3.3K
greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk
2024-10-25 22:44
2.2K
greetd-mini-wl-greeter-0_git20230821-r0.apk
2024-10-25 22:44
20K
grass-0.13.4-r0.apk
2024-10-25 22:44
842K
granite7-lang-7.5.0-r0.apk
2024-10-25 22:44
52K
granite7-dev-7.5.0-r0.apk
2024-10-25 22:44
42K
granite7-7.5.0-r0.apk
2024-10-25 22:44
120K
gpg-remailer-doc-3.04.07-r1.apk
2024-10-25 22:44
9.5K
gpg-remailer-3.04.07-r1.apk
2024-10-25 22:44
46K
gpa-doc-0.10.0-r2.apk
2024-10-25 22:44
2.8K
gpa-0.10.0-r2.apk
2024-10-25 22:44
214K
goxel-0.15.1-r0.apk
2024-10-25 22:44
1.8M
gotify-openrc-2.5.0-r0.apk
2024-10-25 22:44
2.0K
gotify-cli-2.3.2-r0.apk
2024-10-25 22:44
3.9M
gotify-2.5.0-r0.apk
2024-10-25 22:44
9.4M
gotestsum-1.12.0-r2.apk
2024-10-25 22:44
2.3M
gosu-1.17-r5.apk
2024-10-25 22:44
1.0M
gortr-openrc-0.14.8-r8.apk
2024-10-25 22:44
2.0K
gortr-0.14.8-r8.apk
2024-10-25 22:44
9.4M
goreman-0.3.15-r8.apk
2024-10-25 22:44
2.0M
goomwwm-1.0.0-r5.apk
2024-10-25 22:44
48K
gomp-1.0.0-r7.apk
2024-10-25 22:44
3.2M
gobuster-3.6.0-r7.apk
2024-10-25 22:44
3.2M
go-passbolt-cli-0.3.1-r3.apk
2024-10-25 22:44
6.3M
go-mtpfs-1.0.0-r22.apk
2024-10-25 22:44
1.1M
go-jsonnet-0.20.0-r9.apk
2024-10-25 22:44
5.9M
gnu-apl-doc-1.9-r0.apk
2024-10-25 22:44
1.6M
gnu-apl-dev-1.9-r0.apk
2024-10-25 22:44
902K
gnu-apl-1.9-r0.apk
2024-10-25 22:44
1.3M
gnome-user-share-lang-47.0-r0.apk
2024-10-25 22:44
66K
gnome-user-share-47.0-r0.apk
2024-10-25 22:44
14K
gnome-metronome-lang-1.3.0-r0.apk
2024-10-25 22:44
25K
gnome-metronome-1.3.0-r0.apk
2024-10-25 22:44
506K
gnome-common-3.18.0-r3.apk
2024-10-25 22:44
11K
gmsh-py-4.12.2-r2.apk
2024-10-25 22:44
6.7K
gmsh-doc-4.12.2-r2.apk
2024-10-25 22:44
1.9M
gmsh-dbg-4.12.2-r2.apk
2024-10-25 22:44
141M
gmsh-4.12.2-r2.apk
2024-10-25 22:44
9.0M
gmenuharness-dev-0.1.4-r1.apk
2024-10-25 22:44
4.3K
gmenuharness-0.1.4-r1.apk
2024-10-25 22:44
38K
glslviewer-3.2.4-r0.apk
2024-10-25 22:44
1.8M
glow-zsh-completion-2.0.0-r0.apk
2024-10-25 22:44
4.0K
glow-fish-completion-2.0.0-r0.apk
2024-10-25 22:44
4.3K
glow-doc-2.0.0-r0.apk
2024-10-25 22:44
3.2K
glow-bash-completion-2.0.0-r0.apk
2024-10-25 22:44
5.0K
glow-2.0.0-r0.apk
2024-10-25 22:44
5.4M
gloox-dev-1.0.28-r0.apk
2024-10-25 22:44
1.5M
gloox-1.0.28-r0.apk
2024-10-25 22:44
370K
glmark2-doc-2023.01-r1.apk
2024-10-25 22:44
13K
glmark2-2023.01-r1.apk
2024-10-25 22:44
8.0M
gliderlabs-sigil-doc-0.11.0-r0.apk
2024-10-25 22:44
2.4K
gliderlabs-sigil-0.11.0-r0.apk
2024-10-25 22:44
2.9M
glfw-wayland-dev-3.3.8-r3.apk
2024-10-25 22:44
46K
glfw-wayland-dbg-3.3.8-r3.apk
2024-10-25 22:44
199K
glfw-wayland-3.3.8-r3.apk
2024-10-25 22:44
67K
git2json-pyc-0.2.3-r8.apk
2024-10-25 22:44
5.7K
git2json-0.2.3-r8.apk
2024-10-25 22:44
7.4K
git-secret-doc-0.5.0-r0.apk
2024-10-25 22:44
17K
git-secret-0.5.0-r0.apk
2024-10-25 22:44
15K
git-revise-pyc-0.7.0-r5.apk
2024-10-25 22:44
42K
git-revise-doc-0.7.0-r5.apk
2024-10-25 22:44
5.0K
git-revise-0.7.0-r5.apk
2024-10-25 22:44
24K
git-quick-stats-doc-2.5.8-r0.apk
2024-10-25 22:44
2.9K
git-quick-stats-2.5.8-r0.apk
2024-10-25 22:44
12K
gingerbase-pyc-2.3.0-r7.apk
2024-10-25 22:44
72K
gingerbase-lang-2.3.0-r7.apk
2024-10-25 22:44
53K
gingerbase-2.3.0-r7.apk
2024-10-25 22:44
195K
ginger-pyc-2.4.0-r7.apk
2024-10-25 22:44
256K
ginger-lang-2.4.0-r7.apk
2024-10-25 22:44
125K
ginger-2.4.0-r7.apk
2024-10-25 22:44
257K
ginac-doc-1.8.7-r1.apk
2024-10-25 22:44
98K
ginac-dev-1.8.7-r1.apk
2024-10-25 22:44
70K
ginac-1.8.7-r1.apk
2024-10-25 22:44
1.1M
ghostcloud-0.9.9.5-r2.apk
2024-10-25 22:44
448K
ghc-filesystem-1.5.14-r0.apk
2024-10-25 22:44
39K
gfan-0.6.2-r1.apk
2024-10-25 22:44
1.6M
gf2x-dev-1.3.0-r1.apk
2024-10-25 22:44
83K
gf2x-1.3.0-r1.apk
2024-10-25 22:44
40K
getssl-2.48-r0.apk
2024-10-25 22:44
82K
getmail6-pyc-6.19.05-r0.apk
2024-10-25 22:44
102K
getmail6-doc-6.19.05-r0.apk
2024-10-25 22:44
138K
getmail6-6.19.05-r0.apk
2024-10-25 22:44
71K
geonames-lang-0.3.1-r2.apk
2024-10-25 22:44
4.6M
geonames-doc-0.3.1-r2.apk
2024-10-25 22:44
13K
geonames-dev-0.3.1-r2.apk
2024-10-25 22:44
3.0K
geonames-0.3.1-r2.apk
2024-10-25 22:44
827K
geomyidae-openrc-0.34-r2.apk
2024-10-25 22:44
2.0K
geomyidae-doc-0.34-r2.apk
2024-10-25 22:44
7.6K
geomyidae-0.34-r2.apk
2024-10-25 22:44
15K
geodns-openrc-3.3.0-r8.apk
2024-10-25 22:44
1.8K
geodns-logs-3.3.0-r8.apk
2024-10-25 22:44
4.0M
geodns-3.3.0-r8.apk
2024-10-25 22:44
4.4M
gede-2.18.2-r1.apk
2024-10-25 22:44
263K
gearmand-openrc-1.1.21-r1.apk
2024-10-25 22:44
1.8K
gearmand-doc-1.1.21-r1.apk
2024-10-25 22:44
190K
gearmand-1.1.21-r1.apk
2024-10-25 22:44
179K
gearman-libs-1.1.21-r1.apk
2024-10-25 22:44
83K
gearman-dev-1.1.21-r1.apk
2024-10-25 22:44
1.9M
gb-0.4.4-r26.apk
2024-10-25 22:44
6.4M
gaupol-pyc-1.12-r2.apk
2024-10-25 22:44
418K
gaupol-lang-1.12-r2.apk
2024-10-25 22:44
277K
gaupol-doc-1.12-r2.apk
2024-10-25 22:44
2.3K
gaupol-1.12-r2.apk
2024-10-25 22:44
276K
gatling-openrc-0.16-r6.apk
2024-10-25 22:44
2.8K
gatling-doc-0.16-r6.apk
2024-10-25 22:44
9.1K
gatling-0.16-r6.apk
2024-10-25 22:44
147K
gammastep-pyc-2.0.9-r3.apk
2024-10-25 22:44
21K
gammastep-lang-2.0.9-r3.apk
2024-10-25 22:44
78K
gammastep-doc-2.0.9-r3.apk
2024-10-25 22:44
14K
gammastep-2.0.9-r3.apk
2024-10-25 22:44
90K
gamja-doc-1.0.0_beta9-r0.apk
2024-10-25 22:44
2.2K
gamja-1.0.0_beta9-r0.apk
2024-10-25 22:44
599K
gamemode-doc-0_git20240327-r0.apk
2024-10-25 22:44
7.6K
gamemode-dev-0_git20240327-r0.apk
2024-10-25 22:44
5.2K
gamemode-0_git20240327-r0.apk
2024-10-25 22:44
74K
fzy-doc-1.0-r3.apk
2024-10-25 22:44
2.7K
fzy-1.0-r3.apk
2024-10-25 22:44
14K
fyi-fish-completion-1.0.4-r0.apk
2024-10-25 22:44
2.2K
fyi-doc-1.0.4-r0.apk
2024-10-25 22:44
7.0K
fyi-bash-completion-1.0.4-r0.apk
2024-10-25 22:44
1.8K
fyi-1.0.4-r0.apk
2024-10-25 22:44
9.5K
fxfloorboard-katana-mk2-doc-20240515-r1.apk
2024-10-25 22:44
1.1M
fxfloorboard-katana-mk2-20240515-r1.apk
2024-10-25 22:44
5.5M
fusesoc-pyc-2.3-r0.apk
2024-10-25 22:44
89K
fusesoc-2.3-r0.apk
2024-10-25 22:44
46K
fusee-nano-udev-0.5.3-r1.apk
2024-10-25 22:44
1.7K
fusee-nano-0.5.3-r1.apk
2024-10-25 22:44
21K
fulcrum-doc-1.9.8-r1.apk
2024-10-25 22:44
22K
fulcrum-admin-1.9.8-r1.apk
2024-10-25 22:44
7.9K
fulcrum-1.9.8-r1.apk
2024-10-25 22:44
872K
freshrss-themes-1.23.1-r1.apk
2024-10-25 22:44
1.5M
freshrss-sqlite-1.23.1-r1.apk
2024-10-25 22:44
1.4K
freshrss-pgsql-1.23.1-r1.apk
2024-10-25 22:44
1.4K
freshrss-openrc-1.23.1-r1.apk
2024-10-25 22:44
2.5K
freshrss-mysql-1.23.1-r1.apk
2024-10-25 22:44
1.4K
freshrss-lang-1.23.1-r1.apk
2024-10-25 22:44
379K
freshrss-doc-1.23.1-r1.apk
2024-10-25 22:44
751K
freshrss-1.23.1-r1.apk
2024-10-25 22:44
1.5M
frescobaldi-pyc-3.3.0-r1.apk
2024-10-25 22:44
1.2M
frescobaldi-doc-3.3.0-r1.apk
2024-10-25 22:44
2.5K
frescobaldi-3.3.0-r1.apk
2024-10-25 22:44
3.5M
freediameter-libfdproto-1.5.0-r1.apk
2024-10-25 22:44
84K
freediameter-libfdcore-1.5.0-r1.apk
2024-10-25 22:44
157K
freediameter-extensions-1.5.0-r1.apk
2024-10-25 22:44
356K
freediameter-dev-1.5.0-r1.apk
2024-10-25 22:44
54K
freediameter-1.5.0-r1.apk
2024-10-25 22:44
8.9K
freealut-dev-1.1.0-r1.apk
2024-10-25 22:44
29K
freealut-1.1.0-r1.apk
2024-10-25 22:44
18K
fq-0.13.0-r0.apk
2024-10-25 22:44
4.1M
fpp-doc-0.9.5-r0.apk
2024-10-25 22:44
5.8K
fpp-0.9.5-r0.apk
2024-10-25 22:44
30K
fox-utils-1.6.57-r0.apk
2024-10-25 22:44
6.6K
fox-shutterbug-1.6.57-r0.apk
2024-10-25 22:44
24K
fox-pathfinder-1.6.57-r0.apk
2024-10-25 22:44
56K
fox-doc-1.6.57-r0.apk
2024-10-25 22:44
2.0M
fox-dev-1.6.57-r0.apk
2024-10-25 22:44
3.1M
fox-calculator-1.6.57-r0.apk
2024-10-25 22:44
36K
fox-adie-1.6.57-r0.apk
2024-10-25 22:44
130K
fox-1.6.57-r0.apk
2024-10-25 22:44
1.2M
foolsm-openrc-1.0.21-r0.apk
2024-10-25 22:44
1.8K
foolsm-doc-1.0.21-r0.apk
2024-10-25 22:44
4.1K
foolsm-1.0.21-r0.apk
2024-10-25 22:44
37K
font-tiresias-doc-0_git20200704-r0.apk
2024-10-25 22:44
58K
font-tiresias-0_git20200704-r0.apk
2024-10-25 22:44
568K
font-tinos-0_git20210228-r0.apk
2024-10-25 22:44
199K
font-teluguvijayam-20190525-r2.apk
2024-10-25 22:44
3.7M
font-tamzen-1.11.5-r1.apk
2024-10-25 22:44
62K
font-stix-ttf-2.13-r0.apk
2024-10-25 22:44
430K
font-stix-otf-2.13-r0.apk
2024-10-25 22:44
2.0M
font-siji-20190218_git-r2.apk
2024-10-25 22:44
24K
font-monocraft-4.0-r0.apk
2024-10-25 22:44
677K
font-monaspace-xenon-1.101-r0.apk
2024-10-25 22:44
2.3M
font-monaspace-radon-1.101-r0.apk
2024-10-25 22:44
2.7M
font-monaspace-neon-1.101-r0.apk
2024-10-25 22:44
2.1M
font-monaspace-krypton-1.101-r0.apk
2024-10-25 22:44
2.1M
font-monaspace-argon-1.101-r0.apk
2024-10-25 22:44
2.2M
font-monaspace-1.101-r0.apk
2024-10-25 22:44
1.4K
font-material-icons-4.0.0-r0.apk
2024-10-25 22:44
652K
font-katex-0.16.2-r0.apk
2024-10-25 22:44
852K
font-intel-one-mono-1.3.0-r0.apk
2024-10-25 22:44
281K
font-hanazono-20170904-r1.apk
2024-10-25 22:44
29M
font-fontawesome-4-4.7.0-r3.apk
2024-10-25 22:44
205K
font-firamath-0.3.4-r0.apk
2024-10-25 22:44
118K
font-fira-ttf-4.202-r0.apk
2024-10-25 22:44
6.2M
font-fira-otf-4.202-r0.apk
2024-10-25 22:44
7.4M
font-fira-code-vf-6.2-r0.apk
2024-10-25 22:44
145K
font-fira-code-6.2-r0.apk
2024-10-25 22:44
836K
font-fira-4.202-r0.apk
2024-10-25 22:44
1.4K
font-fantasque-sans-normal-1.8.0-r0.apk
2024-10-25 22:44
316K
font-fantasque-sans-noloopk-1.8.0-r0.apk
2024-10-25 22:44
316K
font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk
2024-10-25 22:44
316K
font-fantasque-sans-largelineheight-1.8.0-r0.apk
2024-10-25 22:44
316K
font-fantasque-sans-doc-1.8.0-r0.apk
2024-10-25 22:44
5.8K
font-fantasque-sans-1.8.0-r0.apk
2024-10-25 22:44
1.5K
font-cousine-0_git20210228-r0.apk
2024-10-25 22:44
110K
font-commit-mono-1.143-r0.apk
2024-10-25 22:44
251K
font-comic-neue-doc-2.51-r0.apk
2024-10-25 22:44
1.0M
font-comic-neue-2.51-r0.apk
2024-10-25 22:44
249K
font-chivo-mono-0_git20221110-r0.apk
2024-10-25 22:44
626K
font-chivo-0_git20221110-r0.apk
2024-10-25 22:44
792K
font-babelstone-han-15.1.3-r0.apk
2024-10-25 22:44
18M
font-anonymous-pro-1.002-r2.apk
2024-10-25 22:44
264K
foma-dev-0.10.0_git20240712-r0.apk
2024-10-25 22:44
8.5K
foma-0.10.0_git20240712-r0.apk
2024-10-25 22:44
331K
fnf-doc-0.1-r0.apk
2024-10-25 22:44
4.6K
fnf-0.1-r0.apk
2024-10-25 22:44
17K
flowd-openrc-0.9.1-r10.apk
2024-10-25 22:44
1.9K
flowd-doc-0.9.1-r10.apk
2024-10-25 22:44
10K
flowd-dev-0.9.1-r10.apk
2024-10-25 22:44
8.3K
flowd-0.9.1-r10.apk
2024-10-25 22:44
81K
flintqs-1.0-r1.apk
2024-10-25 22:44
22K
flint-dev-2.9.0-r2.apk
2024-10-25 22:44
311K
flint-2.9.0-r2.apk
2024-10-25 22:44
5.9M
flightgear-zsh-completion-2020.3.19-r1.apk
2024-10-25 22:44
5.4K
flightgear-doc-2020.3.19-r1.apk
2024-10-25 22:44
61K
flightgear-dbg-2020.3.19-r1.apk
2024-10-25 22:44
20M
flightgear-bash-completion-2020.3.19-r1.apk
2024-10-25 22:44
4.2K
flightgear-2020.3.19-r1.apk
2024-10-25 22:44
10M
flauschige-uhr-0.1-r1.apk
2024-10-25 22:44
4.2K
flatpak-xdg-utils-1.0.6-r0.apk
2024-10-25 22:44
19K
flare-game-1.14-r0.apk
2024-10-25 22:44
2.2K
flare-engine-doc-1.14-r0.apk
2024-10-25 22:44
2.4K
flare-engine-1.14-r0.apk
2024-10-25 22:44
4.6M
flann-doc-1.9.2-r0.apk
2024-10-25 22:44
2.6K
flann-dev-1.9.2-r0.apk
2024-10-25 22:44
2.3M
flann-1.9.2-r0.apk
2024-10-25 22:44
1.7M
flamegraph-1.0_git20220918-r1.apk
2024-10-25 22:44
38K
firehol-openrc-3.1.7-r2.apk
2024-10-25 22:44
2.0K
firehol-doc-3.1.7-r2.apk
2024-10-25 22:44
675K
firehol-3.1.7-r2.apk
2024-10-25 22:44
85K
finger-doc-0.5-r0.apk
2024-10-25 22:44
3.8K
finger-0.5-r0.apk
2024-10-25 22:44
6.6K
findtow-0.1-r0.apk
2024-10-25 22:44
4.8K
filebrowser-openrc-2.27.0-r6.apk
2024-10-25 22:44
1.8K
filebrowser-2.27.0-r6.apk
2024-10-25 22:44
7.0M
fildesh-vim-0.2.0-r0.apk
2024-10-25 22:44
3.5K
fildesh-doc-0.2.0-r0.apk
2024-10-25 22:44
2.1K
fildesh-0.2.0-r0.apk
2024-10-25 22:44
69K
ffsend-zsh-completion-0.2.76-r4.apk
2024-10-25 22:44
4.5K
ffsend-fish-completion-0.2.76-r4.apk
2024-10-25 22:44
3.5K
ffsend-bash-completion-0.2.76-r4.apk
2024-10-25 22:44
3.6K
ffsend-0.2.76-r4.apk
2024-10-25 22:44
1.6M
ffms2-doc-5.0-r0.apk
2024-10-25 22:44
30K
ffms2-dev-5.0-r0.apk
2024-10-25 22:44
7.6K
ffms2-5.0-r0.apk
2024-10-25 22:44
72K
fflas-ffpack-2.5.0-r3.apk
2024-10-25 22:44
345K
fff-doc-2.2-r0.apk
2024-10-25 22:44
9.2K
fff-2.2-r0.apk
2024-10-25 22:44
11K
featherpad-lang-1.5.1-r0.apk
2024-10-25 22:44
463K
featherpad-1.5.1-r0.apk
2024-10-25 22:44
709K
fdm-materials-5.2.2-r1.apk
2024-10-25 22:44
60K
fceux-doc-2.6.6-r2.apk
2024-10-25 22:44
106K
fceux-2.6.6-r2.apk
2024-10-25 22:44
2.9M
fbvnc-0_git20220812-r0.apk
2024-10-25 22:44
10K
fbcur-doc-1.0.1-r1.apk
2024-10-25 22:44
2.2K
fbcur-1.0.1-r1.apk
2024-10-25 22:44
4.6K
fava-pyc-1.28-r0.apk
2024-10-25 22:44
164K
fava-1.28-r0.apk
2024-10-25 22:44
1.1M
faust-vim-2.60.3-r2.apk
2024-10-25 22:44
2.6K
faust-tools-2.60.3-r2.apk
2024-10-25 22:44
118K
faust-static-2.60.3-r2.apk
2024-10-25 22:44
936K
faust-doc-2.60.3-r2.apk
2024-10-25 22:44
17M
faust-dev-2.60.3-r2.apk
2024-10-25 22:44
770K
faust-2.60.3-r2.apk
2024-10-25 22:44
8.4M
faultstat-doc-0.01.11-r0.apk
2024-10-25 22:44
3.0K
faultstat-bash-completion-0.01.11-r0.apk
2024-10-25 22:44
2.3K
faultstat-0.01.11-r0.apk
2024-10-25 22:44
13K
fatresize-doc-1.1.0-r1.apk
2024-10-25 22:44
15K
fatresize-1.1.0-r1.apk
2024-10-25 22:44
8.2K
fatrace-doc-0.17.0-r0.apk
2024-10-25 22:44
3.3K
fatrace-0.17.0-r0.apk
2024-10-25 22:44
9.8K
fathom-1.3.1-r8.apk
2024-10-25 22:44
4.4M
fatback-doc-1.3-r2.apk
2024-10-25 22:44
16K
fatback-1.3-r2.apk
2024-10-25 22:44
34K
fastd-openrc-22-r3.apk
2024-10-25 22:44
1.7K
fastd-doc-22-r3.apk
2024-10-25 22:44
3.3K
fastd-22-r3.apk
2024-10-25 22:44
73K
fast_float-5.2.0-r1.apk
2024-10-25 22:44
43K
fakeroot-tcp-1.32.1-r1.apk
2024-10-25 22:44
30K
fabric-pyc-3.2.2-r1.apk
2024-10-25 22:44
60K
fabric-3.2.2-r1.apk
2024-10-25 22:44
55K
f_scripts-f_youtube-0.6-r1.apk
2024-10-25 22:44
2.8K
f_scripts-f_web-0.6-r1.apk
2024-10-25 22:44
2.9K
f_scripts-f_timer-0.6-r1.apk
2024-10-25 22:44
2.4K
f_scripts-f_theme-0.6-r1.apk
2024-10-25 22:44
2.6K
f_scripts-f_rss-0.6-r1.apk
2024-10-25 22:44
2.5K
f_scripts-f_phone-0.6-r1.apk
2024-10-25 22:44
6.0K
f_scripts-f_networks-0.6-r1.apk
2024-10-25 22:44
3.0K
f_scripts-f_maps-0.6-r1.apk
2024-10-25 22:44
2.1K
f_scripts-f_game-0.6-r1.apk
2024-10-25 22:44
1.9K
f_scripts-f_files-0.6-r1.apk
2024-10-25 22:44
2.9K
f_scripts-f_audio-0.6-r1.apk
2024-10-25 22:44
3.3K
f_scripts-0.6-r1.apk
2024-10-25 22:44
1.4K
extundelete-0.2.4-r1.apk
2024-10-25 22:44
42K
extremetuxracer-doc-0.8.3-r0.apk
2024-10-25 22:44
6.7K
extremetuxracer-0.8.3-r0.apk
2024-10-25 22:44
40M
extrace-doc-0.9-r0.apk
2024-10-25 22:44
3.5K
extrace-0.9-r0.apk
2024-10-25 22:44
9.7K
exercism-zsh-completion-3.2.0-r7.apk
2024-10-25 22:44
2.1K
exercism-fish-completion-3.2.0-r7.apk
2024-10-25 22:44
2.4K
exercism-bash-completion-3.2.0-r7.apk
2024-10-25 22:44
2.0K
exercism-3.2.0-r7.apk
2024-10-25 22:44
3.7M
ettercap-doc-0.8.3.1-r3.apk
2024-10-25 22:44
45K
ettercap-0.8.3.1-r3.apk
2024-10-25 22:44
570K
esptool-pyc-4.8.1-r0.apk
2024-10-25 22:44
549K
esptool-4.8.1-r0.apk
2024-10-25 22:44
424K
espeakup-openrc-0.90-r2.apk
2024-10-25 22:44
1.8K
espeakup-0.90-r2.apk
2024-10-25 22:44
12K
ergo-ldap-doc-0.0.1-r12.apk
2024-10-25 22:44
2.3K
ergo-ldap-0.0.1-r12.apk
2024-10-25 22:44
1.9M
epr-pyc-2.4.15-r1.apk
2024-10-25 22:44
24K
epr-2.4.15-r1.apk
2024-10-25 22:44
16K
epoch-1.3.0-r2.apk
2024-10-25 22:43
57K
envsubst-0.1-r1.apk
2024-10-25 22:43
4.9K
envconsul-0.13.2-r8.apk
2024-10-25 22:43
4.4M
enlighten-doc-0.9.2-r1.apk
2024-10-25 22:43
3.4K
enlighten-0.9.2-r1.apk
2024-10-25 22:43
7.0K
enjoy-0.3-r1.apk
2024-10-25 22:43
12K
endlessh-doc-1.1-r0.apk
2024-10-25 22:43
2.6K
endlessh-1.1-r0.apk
2024-10-25 22:43
9.0K
endless-sky-doc-0.10.2-r0.apk
2024-10-25 22:43
37K
endless-sky-0.10.2-r0.apk
2024-10-25 22:43
242M
emulationstation-theme-gbz35-2.11.2-r1.apk
2024-10-25 22:43
3.3M
emulationstation-2.11.2-r1.apk
2024-10-25 22:43
1.3M
emacs-taxy-magit-section-0.13-r0.apk
2024-10-25 22:43
17K
emacs-taxy-0.10.1-r0.apk
2024-10-25 22:43
11K
emacs-svg-lib-0_git20240219-r0.apk
2024-10-25 22:43
19K
emacs-sqlite3-api-0.18-r0.apk
2024-10-25 22:43
17K
emacs-powerline-2.4_git20221110-r0.apk
2024-10-25 22:43
29K
emacs-persist-0.6_git20240114-r0.apk
2024-10-25 22:43
6.6K
emacs-llama-0.3.1_git20240722-r0.apk
2024-10-25 22:43
9.9K
emacs-hydra-0.15.0_git20220910-r0.apk
2024-10-25 22:43
46K
emacs-hnreader-0_git20221116-r0.apk
2024-10-25 22:43
9.7K
emacs-helm-3.9.7_git20240329-r0.apk
2024-10-25 22:43
815K
emacs-hackernews-0.7.0-r0.apk
2024-10-25 22:43
16K
emacs-gnosis-0.3.2-r0.apk
2024-10-25 22:43
62K
emacs-fossil-0_git20230504-r0.apk
2024-10-25 22:43
15K
emacs-epkg-3.3.3_git20240713-r0.apk
2024-10-25 22:43
37K
emacs-ement-0.15.1-r0.apk
2024-10-25 22:43
287K
emacs-embark-consult-1.0_git20240327-r0.apk
2024-10-25 22:43
10K
emacs-embark-1.0_git20240327-r0.apk
2024-10-25 22:43
110K
emacs-emacsql-sqlite-module-3.1.1_git20240714-r0.apk
2024-10-25 22:43
4.3K
emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk
2024-10-25 22:43
17K
emacs-emacsql-psql-3.1.1_git20240714-r0.apk
2024-10-25 22:43
5.9K
emacs-emacsql-mysql-3.1.1_git20240714-r0.apk
2024-10-25 22:43
6.1K
emacs-emacsql-3.1.1_git20240714-r0.apk
2024-10-25 22:43
23K
emacs-elfeed-3.4.1_git20240326-r0.apk
2024-10-25 22:43
91K
emacs-derl-0_git20231004-r0.apk
2024-10-25 22:43
23K
emacs-consult-1.4_git20240405-r0.apk
2024-10-25 22:43
138K
emacs-closql-1.2.1_git20240712-r0.apk
2024-10-25 22:43
14K
emacs-centaur-tabs-3.2_git20230601-r0.apk
2024-10-25 22:43
55K
emacs-avy-embark-collect-1.0_git20240327-r0.apk
2024-10-25 22:43
3.9K
emacs-avy-0.5.0_git20230420-r0.apk
2024-10-25 22:43
43K
emacs-ace-window-0.10.0_git20220911-r0.apk
2024-10-25 22:43
23K
elfio-dev-3.12-r0.apk
2024-10-25 22:43
55K
elfio-3.12-r0.apk
2024-10-25 22:43
1.4K
elf_diff-pyc-0.7.1-r3.apk
2024-10-25 22:43
108K
elf_diff-0.7.1-r3.apk
2024-10-25 22:43
108K
elementary-icon-theme-8.0.0-r0.apk
2024-10-25 22:43
5.0M
eiwd-openrc-2.22-r0.apk
2024-10-25 22:43
1.8K
eiwd-doc-2.22-r0.apk
2024-10-25 22:43
20K
eiwd-2.22-r0.apk
2024-10-25 22:43
849K
edward-doc-1.1.0-r0.apk
2024-10-25 22:43
5.3K
edward-1.1.0-r0.apk
2024-10-25 22:43
1.8M
ecos-dev-2.0.10-r0.apk
2024-10-25 22:43
28K
ecos-2.0.10-r0.apk
2024-10-25 22:43
39K
ecm-doc-7.0.5-r1.apk
2024-10-25 22:43
7.2K
ecm-dev-7.0.5-r1.apk
2024-10-25 22:43
4.8K
ecm-7.0.5-r1.apk
2024-10-25 22:43
128K
eccodes-2.32.1-r0.apk
2024-10-25 22:43
11M
ecasound-doc-2.9.3-r3.apk
2024-10-25 22:43
39K
ecasound-dev-2.9.3-r3.apk
2024-10-25 22:43
2.0M
ecasound-2.9.3-r3.apk
2024-10-25 22:43
701K
eboard-doc-1.1.3-r1.apk
2024-10-25 22:43
4.6K
eboard-1.1.3-r1.apk
2024-10-25 22:43
1.4M
eatmemory-0.1.6-r2.apk
2024-10-25 22:43
4.2K
dwl-doc-0.7-r0.apk
2024-10-25 22:43
3.1K
dwl-0.7-r0.apk
2024-10-25 22:43
28K
dvdbackup-lang-0.4.2-r1.apk
2024-10-25 22:43
1.4K
dvdbackup-doc-0.4.2-r1.apk
2024-10-25 22:43
7.6K
dvdbackup-0.4.2-r1.apk
2024-10-25 22:43
16K
dustracing2d-2.1.1-r1.apk
2024-10-25 22:43
5.1M
dumb_runtime_dir-1.0.4-r3.apk
2024-10-25 22:43
3.6K
dulcepan-1.0.2-r0.apk
2024-10-25 22:43
23K
duf-0.8.1-r21.apk
2024-10-25 22:43
1.0M
duc-doc-1.4.5-r0.apk
2024-10-25 22:43
9.1K
duc-1.4.5-r0.apk
2024-10-25 22:43
88K
dublin-traceroute-doc-0.4.2-r4.apk
2024-10-25 22:43
2.3K
dublin-traceroute-dev-0.4.2-r4.apk
2024-10-25 22:43
6.9K
dublin-traceroute-contrib-0.4.2-r4.apk
2024-10-25 22:43
2.9K
dublin-traceroute-0.4.2-r4.apk
2024-10-25 22:43
46K
dsp-doc-1.9-r2.apk
2024-10-25 22:43
7.2K
dsp-1.9-r2.apk
2024-10-25 22:43
99K
drumgizmo-0.9.20-r1.apk
2024-10-25 22:43
409K
dropwatch-doc-1.5.4-r6.apk
2024-10-25 22:43
3.7K
dropwatch-1.5.4-r6.apk
2024-10-25 22:43
16K
drone-cli-1.8.0-r5.apk
2024-10-25 22:43
5.4M
drogon-doc-1.9.4-r1.apk
2024-10-25 22:43
2.3K
drogon-dev-1.9.4-r1.apk
2024-10-25 22:43
125K
drogon-1.9.4-r1.apk
2024-10-25 22:43
1.5M
drawing-lang-1.0.2-r0.apk
2024-10-25 22:43
272K
drawing-1.0.2-r0.apk
2024-10-25 22:43
3.2M
draw-0.1.1-r8.apk
2024-10-25 22:43
956K
draco-tools-1.5.7-r1.apk
2024-10-25 22:43
1.2M
draco-static-1.5.7-r1.apk
2024-10-25 22:43
2.5M
draco-dev-1.5.7-r1.apk
2024-10-25 22:43
213K
draco-1.5.7-r1.apk
2024-10-25 22:43
830K
downloader-cli-0.3.4-r1.apk
2024-10-25 22:43
2.0K
dovi-tool-2.1.2-r0.apk
2024-10-25 22:43
1.2M
dodo-pyc-0_git20241007-r0.apk
2024-10-25 22:43
86K
dodo-0_git20241007-r0.apk
2024-10-25 22:43
186K
docker-volume-local-persist-openrc-1.3.0-r28.apk
2024-10-25 22:43
1.8K
docker-volume-local-persist-1.3.0-r28.apk
2024-10-25 22:43
2.2M
doasedit-1.0.7-r0.apk
2024-10-25 22:43
3.6K
dnssec-tools-doc-2.2.3-r12.apk
2024-10-25 22:43
315K
dnssec-tools-dev-2.2.3-r12.apk
2024-10-25 22:43
302K
dnssec-tools-2.2.3-r12.apk
2024-10-25 22:43
763K
dnsperf-doc-2.14.0-r0.apk
2024-10-25 22:43
35K
dnsperf-2.14.0-r0.apk
2024-10-25 22:43
72K
dnsenum-doc-1.3.2-r0.apk
2024-10-25 22:43
5.2K
dnsenum-1.3.2-r0.apk
2024-10-25 22:43
21K
dnscrypt-wrapper-0.4.2-r3.apk
2024-10-25 22:43
30K
dnote-zsh-completion-0.15.1-r0.apk
2024-10-25 22:43
2.0K
dnote-doc-0.15.1-r0.apk
2024-10-25 22:43
15K
dnote-bash-completion-0.15.1-r0.apk
2024-10-25 22:43
2.1K
dnote-0.15.1-r0.apk
2024-10-25 22:43
4.1M
dmarc-cat-0.15.0-r0.apk
2024-10-25 22:43
2.3M
dlib-dev-19.24.4-r0.apk
2024-10-25 22:43
2.4M
dlib-19.24.4-r0.apk
2024-10-25 22:43
775K
dived-doc-1.9.0-r0.apk
2024-10-25 22:43
11K
dived-1.9.0-r0.apk
2024-10-25 22:43
23K
dive-0.12.0-r0.apk
2024-10-25 22:43
3.8M
dislocker-libs-0.7.3-r5.apk
2024-10-25 22:43
45K
dislocker-doc-0.7.3-r5.apk
2024-10-25 22:43
6.0K
dislocker-0.7.3-r5.apk
2024-10-25 22:43
13K
disfetch-3.7-r0.apk
2024-10-25 22:43
8.3K
ding-libs-dev-0.6.2-r4.apk
2024-10-25 22:43
68K
ding-libs-0.6.2-r4.apk
2024-10-25 22:43
73K
diceware-pyc-0.10-r1.apk
2024-10-25 22:43
17K
diceware-0.10-r1.apk
2024-10-25 22:43
218K
dhewm3-1.5.2-r0.apk
2024-10-25 22:43
4.6M
dfu-programmer-doc-1.1.0-r0.apk
2024-10-25 22:43
5.7K
dfu-programmer-bash-completion-1.1.0-r0.apk
2024-10-25 22:43
2.8K
dfu-programmer-1.1.0-r0.apk
2024-10-25 22:43
35K
dfl-sni-dev-0.2.0-r0.apk
2024-10-25 22:43
4.1K
dfl-sni-0.2.0-r0.apk
2024-10-25 22:43
31K
dfl-login1-dev-0.2.0-r0.apk
2024-10-25 22:43
3.4K
dfl-login1-0.2.0-r0.apk
2024-10-25 22:43
17K
dfl-ipc-dev-0.2.0-r0.apk
2024-10-25 22:43
3.6K
dfl-ipc-0.2.0-r0.apk
2024-10-25 22:43
20K
dfl-applications-dev-0.2.0-r0.apk
2024-10-25 22:43
4.1K
dfl-applications-0.2.0-r0.apk
2024-10-25 22:43
33K
devil-dev-1.8.0-r0.apk
2024-10-25 22:43
13K
devil-1.8.0-r0.apk
2024-10-25 22:43
266K
detox-doc-2.0.0-r0.apk
2024-10-25 22:43
21K
detox-2.0.0-r0.apk
2024-10-25 22:43
116K
desed-doc-1.2.1-r1.apk
2024-10-25 22:43
2.9K
desed-1.2.1-r1.apk
2024-10-25 22:43
425K
dehydrated-0.7.1-r0.apk
2024-10-25 22:43
26K
decoder-lang-0.6.1-r0.apk
2024-10-25 22:43
59K
decoder-0.6.1-r0.apk
2024-10-25 22:43
2.0M
debconf-utils-1.5.82-r0.apk
2024-10-25 22:43
6.6K
debconf-lang-1.5.82-r0.apk
2024-10-25 22:43
132K
debconf-doc-1.5.82-r0.apk
2024-10-25 22:43
24K
debconf-bash-completion-1.5.82-r0.apk
2024-10-25 22:43
1.8K
debconf-1.5.82-r0.apk
2024-10-25 22:43
69K
deadbeef-soxr-20180801-r0.apk
2024-10-25 22:43
6.3K
ddserver-0_git20200930-r1.apk
2024-10-25 22:43
12K
ddnrs-openrc-0.3.0-r0.apk
2024-10-25 22:43
2.0K
ddnrs-0.3.0-r0.apk
2024-10-25 22:43
1.0M
ddgr-zsh-completion-2.2-r0.apk
2024-10-25 22:43
2.7K
ddgr-fish-completion-2.2-r0.apk
2024-10-25 22:43
2.3K
ddgr-doc-2.2-r0.apk
2024-10-25 22:43
12K
ddgr-bash-completion-2.2-r0.apk
2024-10-25 22:43
2.2K
ddgr-2.2-r0.apk
2024-10-25 22:43
20K
dcnnt-pyc-0.10.0-r1.apk
2024-10-25 22:43
62K
dcnnt-doc-0.10.0-r1.apk
2024-10-25 22:43
6.6K
dcnnt-0.10.0-r1.apk
2024-10-25 22:43
28K
dbus-waiter-0.2.0-r0.apk
2024-10-25 22:43
694K
dbus-broker-doc-36-r0.apk
2024-10-25 22:43
5.8K
dbus-broker-36-r0.apk
2024-10-25 22:43
85K
dbmate-doc-2.16.0-r0.apk
2024-10-25 22:43
2.3K
dbmate-2.16.0-r0.apk
2024-10-25 22:43
9.7M
dasht-zsh-completion-2.4.0-r0.apk
2024-10-25 22:43
2.1K
dasht-doc-2.4.0-r0.apk
2024-10-25 22:43
11K
dasht-2.4.0-r0.apk
2024-10-25 22:43
14K
darts-clone-dev-0_git20181117-r0.apk
2024-10-25 22:43
13K
darts-clone-0_git20181117-r0.apk
2024-10-25 22:43
40K
daemontools-openrc-0.76-r3.apk
2024-10-25 22:43
2.0K
daemontools-0.76-r3.apk
2024-10-25 22:43
67K
cyrus-sasl-xoauth2-static-0.2-r1.apk
2024-10-25 22:43
9.7K
cyrus-sasl-xoauth2-doc-0.2-r1.apk
2024-10-25 22:43
2.3K
cyrus-sasl-xoauth2-0.2-r1.apk
2024-10-25 22:43
6.7K
cvs-fast-export-tools-1.65-r0.apk
2024-10-25 22:43
8.6K
cvs-fast-export-doc-1.65-r0.apk
2024-10-25 22:43
17K
cvs-fast-export-1.65-r0.apk
2024-10-25 22:43
48K
cvise-pyc-2.8.0-r2.apk
2024-10-25 22:43
60K
cvise-2.8.0-r2.apk
2024-10-25 22:43
5.7M
cutechess-doc-1.3.1-r0.apk
2024-10-25 22:43
3.5K
cutechess-cli-doc-1.3.1-r0.apk
2024-10-25 22:43
6.6K
cutechess-cli-1.3.1-r0.apk
2024-10-25 22:43
353K
cutechess-1.3.1-r0.apk
2024-10-25 22:43
1.1M
curtail-lang-1.11.1-r0.apk
2024-10-25 22:43
66K
curtail-1.11.1-r0.apk
2024-10-25 22:43
27K
curlftpfs-doc-0.9.2-r3.apk
2024-10-25 22:43
6.1K
curlftpfs-0.9.2-r3.apk
2024-10-25 22:43
23K
cura-lang-5.2.2-r1.apk
2024-10-25 22:43
4.1M
cura-5.2.2-r1.apk
2024-10-25 22:43
42M
cups-pdf-3.0.1-r2.apk
2024-10-25 22:43
21K
ctorrent-dnh-3.3.2-r2.apk
2024-10-25 22:43
86K
csol-doc-1.6.0-r0.apk
2024-10-25 22:43
3.8K
csol-1.6.0-r0.apk
2024-10-25 22:43
38K
csmith-doc-2.3.0-r2.apk
2024-10-25 22:43
3.1K
csmith-2.3.0-r2.apk
2024-10-25 22:43
315K
csfml-doc-2.5.2-r0.apk
2024-10-25 22:43
218K
csfml-dev-2.5.2-r0.apk
2024-10-25 22:43
77K
csfml-2.5.2-r0.apk
2024-10-25 22:43
94K
cscope-doc-15.9-r1.apk
2024-10-25 22:43
7.5K
cscope-15.9-r1.apk
2024-10-25 22:43
152K
crosstool-ng-doc-1.26.0_git20240914-r0.apk
2024-10-25 22:43
3.9K
crosstool-ng-bash-completion-1.26.0_git20240914-r0.apk
2024-10-25 22:43
2.2K
crosstool-ng-1.26.0_git20240914-r0.apk
2024-10-25 22:43
2.1M
crossplane-pyc-0.5.8-r3.apk
2024-10-25 22:43
39K
crossplane-0.5.8-r3.apk
2024-10-25 22:43
30K
critcl-doc-3.3.1-r0.apk
2024-10-25 22:43
787K
critcl-3.3.1-r0.apk
2024-10-25 22:43
434K
crispy-doom-doc-7.0-r0.apk
2024-10-25 22:43
107K
crispy-doom-7.0-r0.apk
2024-10-25 22:43
1.9M
createrepo_c-libs-1.1.4-r0.apk
2024-10-25 22:43
88K
createrepo_c-doc-1.1.4-r0.apk
2024-10-25 22:43
8.7K
createrepo_c-dev-1.1.4-r0.apk
2024-10-25 22:43
31K
createrepo_c-bash-completion-1.1.4-r0.apk
2024-10-25 22:43
2.9K
createrepo_c-1.1.4-r0.apk
2024-10-25 22:43
48K
crazydiskinfo-1.1.0-r1.apk
2024-10-25 22:43
33K
cpiped-0.1.0-r0.apk
2024-10-25 22:43
7.1K
coxeter-libs-3.0-r1.apk
2024-10-25 22:43
318K
coxeter-dev-3.0-r1.apk
2024-10-25 22:43
57K
coxeter-3.0-r1.apk
2024-10-25 22:43
48K
cowsay-doc-3.04-r2.apk
2024-10-25 22:43
4.0K
cowsay-3.04-r2.apk
2024-10-25 22:43
18K
consul-replicate-0.4.0-r26.apk
2024-10-25 22:43
2.6M
console_bridge-dev-1.0.2-r0.apk
2024-10-25 22:43
4.8K
console_bridge-1.0.2-r0.apk
2024-10-25 22:43
9.3K
commoncpp-tools-7.0.1-r1.apk
2024-10-25 22:43
45K
commoncpp-doc-7.0.1-r1.apk
2024-10-25 22:43
15K
commoncpp-dev-7.0.1-r1.apk
2024-10-25 22:43
173K
commoncpp-7.0.1-r1.apk
2024-10-25 22:43
286K
comics-downloader-gui-0.33.8-r5.apk
2024-10-25 22:43
5.2M
comics-downloader-0.33.8-r5.apk
2024-10-25 22:43
3.5M
colorpicker-0_git20201128-r1.apk
2024-10-25 22:43
4.0K
colormake-doc-0.9.20170221-r0.apk
2024-10-25 22:43
2.9K
colormake-0.9.20170221-r0.apk
2024-10-25 22:43
4.3K
cmusfm-0.5.0-r0.apk
2024-10-25 22:43
14K
cluster-glue-libs-1.0.12-r5.apk
2024-10-25 22:43
114K
cluster-glue-doc-1.0.12-r5.apk
2024-10-25 22:43
33K
cluster-glue-dev-1.0.12-r5.apk
2024-10-25 22:43
2.1M
cluster-glue-1.0.12-r5.apk
2024-10-25 22:43
268K
cloudfoundry-cli-8.7.9-r4.apk
2024-10-25 22:43
8.0M
cln-doc-1.3.7-r0.apk
2024-10-25 22:43
78K
cln-dev-1.3.7-r0.apk
2024-10-25 22:43
2.1M
cln-1.3.7-r0.apk
2024-10-25 22:43
461K
cliquer-tests-1.22-r2.apk
2024-10-25 22:43
24K
cliquer-static-1.22-r2.apk
2024-10-25 22:43
50K
cliquer-libs-1.22-r2.apk
2024-10-25 22:43
26K
cliquer-dev-1.22-r2.apk
2024-10-25 22:43
7.4K
cliquer-1.22-r2.apk
2024-10-25 22:43
7.1K
clipit-doc-1.4.5-r3.apk
2024-10-25 22:43
2.4K
clipit-1.4.5-r3.apk
2024-10-25 22:43
66K
cliphist-fzf-0.6.1-r0.apk
2024-10-25 22:43
1.7K
cliphist-0.6.1-r0.apk
2024-10-25 22:43
904K
clinfo-doc-3.0.23.01.25-r0.apk
2024-10-25 22:43
6.4K
clinfo-3.0.23.01.25-r0.apk
2024-10-25 22:43
46K
click-pyc-0.5.2-r3.apk
2024-10-25 22:43
176K
click-doc-0.5.2-r3.apk
2024-10-25 22:43
3.3K
click-dev-0.5.2-r3.apk
2024-10-25 22:43
9.3K
click-0.5.2-r3.apk
2024-10-25 22:43
159K
clementine-1.4.0_git20220324-r12.apk
2024-10-25 22:43
7.4M
clatd-1.6-r0.apk
2024-10-25 22:43
13K
ckb-next-dev-0.6.0-r1.apk
2024-10-25 22:43
5.0K
ckb-next-daemon-openrc-0.6.0-r1.apk
2024-10-25 22:43
1.8K
ckb-next-daemon-0.6.0-r1.apk
2024-10-25 22:43
76K
ckb-next-0.6.0-r1.apk
2024-10-25 22:43
1.3M
circuslinux-doc-1.0.3-r1.apk
2024-10-25 22:43
18K
circuslinux-data-1.0.3-r1.apk
2024-10-25 22:43
1.1M
circuslinux-1.0.3-r1.apk
2024-10-25 22:43
20K
cimg-3.4.1-r0.apk
2024-10-25 22:43
826K
cilium-cli-zsh-completion-0.16.13-r0.apk
2024-10-25 22:43
4.0K
cilium-cli-fish-completion-0.16.13-r0.apk
2024-10-25 22:43
4.3K
cilium-cli-bash-completion-0.16.13-r0.apk
2024-10-25 22:43
5.1K
cilium-cli-0.16.13-r0.apk
2024-10-25 22:43
53M
chocolate-doom-doc-3.1.0-r0.apk
2024-10-25 22:43
231K
chocolate-doom-3.1.0-r0.apk
2024-10-25 22:43
1.7M
chicago95-icons-3.0.1_git20240619-r0.apk
2024-10-25 22:43
12M
chicago95-fonts-3.0.1_git20240619-r0.apk
2024-10-25 22:42
215K
chicago95-3.0.1_git20240619-r0.apk
2024-10-25 22:42
481K
checkpolicy-doc-3.6-r0.apk
2024-10-25 22:42
4.1K
checkpolicy-3.6-r0.apk
2024-10-25 22:42
369K
charls-dev-2.4.2-r0.apk
2024-10-25 22:42
27K
charls-2.4.2-r0.apk
2024-10-25 22:42
69K
cgo-doc-0.6.1-r1.apk
2024-10-25 22:42
4.1K
cgo-0.6.1-r1.apk
2024-10-25 22:42
10K
cgiirc-0.5.12-r1.apk
2024-10-25 22:42
133K
cfssl-1.6.5-r0.apk
2024-10-25 22:42
27M
certstrap-1.3.0-r18.apk
2024-10-25 22:42
2.0M
certigo-1.16.0-r18.apk
2024-10-25 22:42
3.3M
certbot-dns-pdns-pyc-0.1.1-r0.apk
2024-10-25 22:42
3.9K
certbot-dns-pdns-0.1.1-r0.apk
2024-10-25 22:42
8.8K
cdogs-sdl-2.1.0-r0.apk
2024-10-25 22:42
33M
cdist-pyc-7.0.0-r6.apk
2024-10-25 22:42
127K
cdist-7.0.0-r6.apk
2024-10-25 22:42
511K
cddlib-tools-0.94m-r2.apk
2024-10-25 22:42
38K
cddlib-static-0.94m-r2.apk
2024-10-25 22:42
473K
cddlib-doc-0.94m-r2.apk
2024-10-25 22:42
864K
cddlib-dev-0.94m-r2.apk
2024-10-25 22:42
14K
cddlib-0.94m-r2.apk
2024-10-25 22:42
193K
cdba-server-1.0-r2.apk
2024-10-25 22:42
22K
cdba-1.0-r2.apk
2024-10-25 22:42
7.8K
ccze-doc-0.2.1-r1.apk
2024-10-25 22:42
8.8K
ccze-dev-0.2.1-r1.apk
2024-10-25 22:42
3.3K
ccze-0.2.1-r1.apk
2024-10-25 22:42
51K
ccrtp-doc-2.1.2-r0.apk
2024-10-25 22:42
31K
ccrtp-dev-2.1.2-r0.apk
2024-10-25 22:42
53K
ccrtp-2.1.2-r0.apk
2024-10-25 22:42
93K
cc65-2.19-r0.apk
2024-10-25 22:42
8.9M
catdoc-doc-0.95-r1.apk
2024-10-25 22:42
9.2K
catdoc-0.95-r1.apk
2024-10-25 22:42
111K
catcodec-doc-1.0.5-r2.apk
2024-10-25 22:42
5.1K
catcodec-1.0.5-r2.apk
2024-10-25 22:42
15K
castero-pyc-0.9.5-r3.apk
2024-10-25 22:42
95K
castero-0.9.5-r3.apk
2024-10-25 22:42
52K
cargo-crev-0.25.9-r0.apk
2024-10-25 22:42
6.1M
caps2esc-0.3.2-r0.apk
2024-10-25 22:42
4.5K
caja-gtkhash-plugin-1.5-r0.apk
2024-10-25 22:42
25K
caffeine-ng-lang-4.2.0-r1.apk
2024-10-25 22:42
34K
caffeine-ng-doc-4.2.0-r1.apk
2024-10-25 22:42
3.1K
caffeine-ng-4.2.0-r1.apk
2024-10-25 22:42
100K
cadence-0.9.2-r0.apk
2024-10-25 22:42
1.9M
bwrap-oci-doc-0.2-r1.apk
2024-10-25 22:42
2.5K
bwrap-oci-0.2-r1.apk
2024-10-25 22:42
15K
butane-0.22.0-r0.apk
2024-10-25 22:42
2.6M
burp-server-3.1.4-r0.apk
2024-10-25 22:42
36K
burp-doc-3.1.4-r0.apk
2024-10-25 22:42
99K
burp-3.1.4-r0.apk
2024-10-25 22:42
168K
bump2version-pyc-1.0.1-r6.apk
2024-10-25 22:42
29K
bump2version-1.0.1-r6.apk
2024-10-25 22:42
21K
buildcache-0.28.9-r0.apk
2024-10-25 22:42
800K
btpd-doc-0.16-r2.apk
2024-10-25 22:42
8.4K
btpd-0.16-r2.apk
2024-10-25 22:42
82K
btfs-doc-2.24-r12.apk
2024-10-25 22:42
2.4K
btfs-2.24-r12.apk
2024-10-25 22:42
31K
btcd-0.24.0-r3.apk
2024-10-25 22:42
14M
brltty-static-6.7-r0.apk
2024-10-25 22:42
38K
brltty-lang-6.7-r0.apk
2024-10-25 22:42
149K
brltty-doc-6.7-r0.apk
2024-10-25 22:42
9.4K
brltty-dev-6.7-r0.apk
2024-10-25 22:42
140K
brltty-6.7-r0.apk
2024-10-25 22:42
2.2M
brial-dev-1.2.11-r4.apk
2024-10-25 22:42
2.2M
brial-1.2.11-r4.apk
2024-10-25 22:42
1.0M
boxes-doc-2.3.1-r0.apk
2024-10-25 22:42
7.1K
boxes-2.3.1-r0.apk
2024-10-25 22:42
80K
boxed-cpp-doc-1.4.3-r0.apk
2024-10-25 22:42
5.4K
boxed-cpp-dev-1.4.3-r0.apk
2024-10-25 22:42
6.2K
boxed-cpp-1.4.3-r0.apk
2024-10-25 22:42
1.2K
boson-0_git20211219-r0.apk
2024-10-25 22:42
18K
bootterm-dbg-0.5-r0.apk
2024-10-25 22:42
2.3K
bootterm-0.5-r0.apk
2024-10-25 22:42
20K
bootloose-0.7.1-r6.apk
2024-10-25 22:42
2.0M
bootinfo-pyc-0.1.0-r4.apk
2024-10-25 22:42
8.2K
bootinfo-0.1.0-r4.apk
2024-10-25 22:42
19K
bonzomatic-20230615-r0.apk
2024-10-25 22:42
650K
boinc-screensaver-7.24.3-r0.apk
2024-10-25 22:42
127K
boinc-libs-7.24.3-r0.apk
2024-10-25 22:42
200K
boinc-lang-7.24.3-r0.apk
2024-10-25 22:42
877K
boinc-gui-7.24.3-r0.apk
2024-10-25 22:42
1.0M
boinc-doc-7.24.3-r0.apk
2024-10-25 22:42
8.0K
boinc-dev-7.24.3-r0.apk
2024-10-25 22:42
596K
boinc-7.24.3-r0.apk
2024-10-25 22:42
1.5M
bochs-doc-2.8-r0.apk
2024-10-25 22:42
139K
bochs-2.8-r0.apk
2024-10-25 22:42
951K
bobcat-doc-4.09.00-r0.apk
2024-10-25 22:42
345K
bobcat-dev-4.09.00-r0.apk
2024-10-25 22:42
1.0M
bobcat-4.09.00-r0.apk
2024-10-25 22:42
663K
bliss-dev-0.77-r1.apk
2024-10-25 22:42
188K
bliss-0.77-r1.apk
2024-10-25 22:42
76K
blip-doc-0.10-r0.apk
2024-10-25 22:42
30K
blip-0.10-r0.apk
2024-10-25 22:42
16K
blackbox-1.20220610-r1.apk
2024-10-25 22:42
16K
bitlbee-mastodon-1.4.5-r0.apk
2024-10-25 22:42
49K
bitlbee-facebook-1.2.2-r0.apk
2024-10-25 22:42
57K
birdtray-1.9.0-r1.apk
2024-10-25 22:42
403K
bgs-doc-0.8-r1.apk
2024-10-25 22:42
2.3K
bgs-0.8-r1.apk
2024-10-25 22:42
5.4K
bgpq4-doc-1.15-r0.apk
2024-10-25 22:42
6.3K
bgpq4-1.15-r0.apk
2024-10-25 22:42
33K
bestline-doc-0.0_git20211108-r0.apk
2024-10-25 22:42
18M
bestline-dev-0.0_git20211108-r0.apk
2024-10-25 22:42
1.9K
bestline-0.0_git20211108-r0.apk
2024-10-25 22:42
22K
berry-lang-1.1.0-r0.apk
2024-10-25 22:42
122K
belr-dev-5.3.83-r0.apk
2024-10-25 22:42
15K
belr-5.3.83-r0.apk
2024-10-25 22:42
111K
belle-sip-dev-5.3.83-r0.apk
2024-10-25 22:42
55K
belle-sip-5.3.83-r0.apk
2024-10-25 22:42
687K
belcard-libs-5.3.83-r0.apk
2024-10-25 22:42
215K
belcard-dev-5.3.83-r0.apk
2024-10-25 22:42
12K
belcard-5.3.83-r0.apk
2024-10-25 22:42
12K
bees-openrc-0.10-r2.apk
2024-10-25 22:42
1.9K
bees-0.10-r2.apk
2024-10-25 22:42
293K
beard-doc-0.4-r0.apk
2024-10-25 22:42
2.5K
beard-0.4-r0.apk
2024-10-25 22:42
3.1K
bdfr-2.6.2-r1.apk
2024-10-25 22:42
131K
bchunk-doc-1.2.2-r3.apk
2024-10-25 22:42
3.0K
bchunk-1.2.2-r3.apk
2024-10-25 22:42
7.2K
bcg729-dev-1.1.1-r0.apk
2024-10-25 22:42
3.7K
bcg729-1.1.1-r0.apk
2024-10-25 22:42
36K
batmon-0.0.1-r0.apk
2024-10-25 22:42
458K
base64c-dev-0.2.1-r0.apk
2024-10-25 22:42
5.8K
base64c-0.2.1-r0.apk
2024-10-25 22:42
4.3K
bartib-1.0.1-r1.apk
2024-10-25 22:42
397K
barrier-doc-2.4.0-r1.apk
2024-10-25 22:42
13K
barrier-2.4.0-r1.apk
2024-10-25 22:42
957K
bananui-shell-0.2.0-r0.apk
2024-10-25 22:42
107K
bananui-dev-2.0.0-r0.apk
2024-10-25 22:42
182K
bananui-demos-2.0.0-r0.apk
2024-10-25 22:42
9.1K
bananui-dbg-2.0.0-r0.apk
2024-10-25 22:42
177K
bananui-daemons-0.1.0-r0.apk
2024-10-25 22:42
45K
bananui-clock-0.1.0-r0.apk
2024-10-25 22:42
6.9K
bananui-2.0.0-r0.apk
2024-10-25 22:42
57K
bakelite-0.4.2-r0.apk
2024-10-25 22:42
37K
backup-manager-0.7.15-r1.apk
2024-10-25 22:42
55K
b2sum-doc-20190729-r2.apk
2024-10-25 22:42
2.7K
b2sum-20190729-r2.apk
2024-10-25 22:42
15K
azure-iot-sdk-c-static-1.11.0-r0.apk
2024-10-25 22:42
1.1M
azpainter-doc-3.0.9-r0.apk
2024-10-25 22:42
42K
azpainter-3.0.9-r0.apk
2024-10-25 22:42
859K
avra-dev-1.4.2-r0.apk
2024-10-25 22:42
255K
avra-1.4.2-r0.apk
2024-10-25 22:42
41K
avarice-doc-2.14-r4.apk
2024-10-25 22:42
9.4K
avarice-2.14-r4.apk
2024-10-25 22:42
101K
avahi2dns-openrc-0.0.1_git20240102-r2.apk
2024-10-25 22:42
1.8K
avahi2dns-0.0.1_git20240102-r2.apk
2024-10-25 22:42
2.1M
autotrash-pyc-0.4.7-r0.apk
2024-10-25 22:42
14K
autotrash-0.4.7-r0.apk
2024-10-25 22:42
23K
autorestic-1.8.3-r0.apk
2024-10-25 22:42
3.4M
autoremove-torrents-pyc-1.5.5-r0.apk
2024-10-25 22:42
54K
autoremove-torrents-doc-1.5.5-r0.apk
2024-10-25 22:42
12K
autoremove-torrents-1.5.5-r0.apk
2024-10-25 22:42
35K
autoconf-policy-0.1-r0.apk
2024-10-25 22:42
5.7K
aufs-util-doc-20161219-r3.apk
2024-10-25 22:42
34K
aufs-util-dev-20161219-r3.apk
2024-10-25 22:42
1.5K
aufs-util-20161219-r3.apk
2024-10-25 22:42
244K
ats2-0.4.2-r0.apk
2024-10-25 22:42
1.8M
atool-doc-0.39.0-r4.apk
2024-10-25 22:42
9.6K
atool-bash-completion-0.39.0-r4.apk
2024-10-25 22:42
2.0K
atool-0.39.0-r4.apk
2024-10-25 22:42
18K
atomicparsley-20240608-r0.apk
2024-10-25 22:42
117K
atlantik-lang-3.5.10_git20240323-r0.apk
2024-10-25 22:42
69K
atlantik-doc-3.5.10_git20240323-r0.apk
2024-10-25 22:42
79K
atlantik-3.5.10_git20240323-r0.apk
2024-10-25 22:42
385K
aspell-es-1.11-r0.apk
2024-10-25 22:42
533K
armagetronad-doc-0.2.9.1.1-r0.apk
2024-10-25 22:42
92K
armagetronad-0.2.9.1.1-r0.apk
2024-10-25 22:42
1.6M
arc-xfwm-20221218-r0.apk
2024-10-25 22:42
7.7K
arc-theme-20221218-r0.apk
2024-10-25 22:42
1.4K
arc-metacity-20221218-r0.apk
2024-10-25 22:42
17K
arc-lighter-xfwm-20221218-r0.apk
2024-10-25 22:42
7.7K
arc-lighter-metacity-20221218-r0.apk
2024-10-25 22:42
17K
arc-lighter-gtk4-20221218-r0.apk
2024-10-25 22:42
113K
arc-lighter-gtk3-20221218-r0.apk
2024-10-25 22:42
125K
arc-lighter-gtk2-20221218-r0.apk
2024-10-25 22:42
38K
arc-lighter-20221218-r0.apk
2024-10-25 22:42
1.7K
arc-icon-theme-20161122-r0.apk
2024-10-25 22:42
4.4M
arc-gtk4-20221218-r0.apk
2024-10-25 22:42
113K
arc-gtk3-20221218-r0.apk
2024-10-25 22:42
126K
arc-gtk2-20221218-r0.apk
2024-10-25 22:42
38K
arc-gnome-20221218-r0.apk
2024-10-25 22:42
29K
arc-darker-xfwm-20221218-r0.apk
2024-10-25 22:42
7.8K
arc-darker-metacity-20221218-r0.apk
2024-10-25 22:42
17K
arc-darker-gtk4-20221218-r0.apk
2024-10-25 22:42
110K
arc-darker-gtk3-20221218-r0.apk
2024-10-25 22:42
124K
arc-darker-gtk2-20221218-r0.apk
2024-10-25 22:42
38K
arc-darker-20221218-r0.apk
2024-10-25 22:42
1.7K
arc-dark-xfwm-20221218-r0.apk
2024-10-25 22:42
7.8K
arc-dark-metacity-20221218-r0.apk
2024-10-25 22:42
17K
arc-dark-gtk4-20221218-r0.apk
2024-10-25 22:42
86K
arc-dark-gtk3-20221218-r0.apk
2024-10-25 22:42
93K
arc-dark-gtk2-20221218-r0.apk
2024-10-25 22:42
38K
arc-dark-gnome-20221218-r0.apk
2024-10-25 22:42
27K
arc-dark-cinnamon-20221218-r0.apk
2024-10-25 22:42
68K
arc-dark-20221218-r0.apk
2024-10-25 22:42
1.7K
arc-cinnamon-20221218-r0.apk
2024-10-25 22:42
68K
arc-20221218-r0.apk
2024-10-25 22:42
1.7K
aqemu-doc-0.9.4-r3.apk
2024-10-25 22:42
7.5K
aqemu-0.9.4-r3.apk
2024-10-25 22:42
1.6M
apulse-doc-0.1.13-r2.apk
2024-10-25 22:42
2.8K
apulse-0.1.13-r2.apk
2024-10-25 22:42
41K
aptdec-libs-1.8.0-r0.apk
2024-10-25 22:42
16K
aptdec-dev-1.8.0-r0.apk
2024-10-25 22:42
3.5K
aptdec-1.8.0-r0.apk
2024-10-25 22:42
86K
apt-mirror-doc-0.5.4-r0.apk
2024-10-25 22:42
3.7K
apt-mirror-0.5.4-r0.apk
2024-10-25 22:42
9.4K
apt-dater-lang-1.0.4-r4.apk
2024-10-25 22:42
13K
apt-dater-doc-1.0.4-r4.apk
2024-10-25 22:42
9.9K
apt-dater-1.0.4-r4.apk
2024-10-25 22:42
58K
aprilsh-server-0.7.12-r0.apk
2024-10-25 22:42
2.3M
aprilsh-openrc-0.7.12-r0.apk
2024-10-25 22:42
1.8K
aprilsh-doc-0.7.12-r0.apk
2024-10-25 22:42
15K
aprilsh-client-0.7.12-r0.apk
2024-10-25 22:42
2.9M
aprilsh-0.7.12-r0.apk
2024-10-25 22:42
1.6K
aports-glmr-0.2-r23.apk
2024-10-25 22:42
2.3M
apk-snap-doc-3.1.1-r0.apk
2024-10-25 22:42
20K
apk-snap-3.1.1-r0.apk
2024-10-25 22:42
6.6K
apk-readme-0.1-r1.apk
2024-10-25 22:42
1.5K
apache2-mod-realdoc-1-r1.apk
2024-10-25 22:42
4.8K
apache2-mod-perl-doc-2.0.13-r1.apk
2024-10-25 22:42
303K
apache2-mod-perl-dev-2.0.13-r1.apk
2024-10-25 22:42
40K
apache2-mod-perl-dbg-2.0.13-r1.apk
2024-10-25 22:42
64K
apache2-mod-perl-2.0.13-r1.apk
2024-10-25 22:42
679K
apache2-mod-authnz-external-doc-3.3.3-r0.apk
2024-10-25 22:42
10K
apache2-mod-authnz-external-3.3.3-r0.apk
2024-10-25 22:42
8.0K
apache-mod-auth-openidc-static-2.4.16.4-r0.apk
2024-10-25 22:42
475K
apache-mod-auth-openidc-doc-2.4.16.4-r0.apk
2024-10-25 22:42
4.8K
apache-mod-auth-openidc-2.4.16.4-r0.apk
2024-10-25 22:42
215K
apache-mod-auth-gssapi-1.6.5-r1.apk
2024-10-25 22:42
61K
antimicrox-doc-3.4.0-r0.apk
2024-10-25 22:42
23K
antimicrox-3.4.0-r0.apk
2024-10-25 22:42
1.6M
antibody-6.1.1-r23.apk
2024-10-25 22:42
1.7M
ansiweather-doc-1.19.0-r1.apk
2024-10-25 22:42
3.0K
ansiweather-1.19.0-r1.apk
2024-10-25 22:42
4.7K
angband-4.2.5-r0.apk
2024-10-25 22:42
23M
android-file-transfer-libs-4.3-r0.apk
2024-10-25 22:42
126K
android-file-transfer-dev-4.3-r0.apk
2024-10-25 22:42
1.5K
android-file-transfer-cli-4.3-r0.apk
2024-10-25 22:42
111K
android-file-transfer-4.3-r0.apk
2024-10-25 22:42
184K
anari-sdk-static-0.7.2-r0.apk
2024-10-25 22:42
299K
anari-sdk-dev-0.7.2-r0.apk
2024-10-25 22:42
59K
anari-sdk-0.7.2-r0.apk
2024-10-25 22:42
302K
anarch-doc-1.0-r1.apk
2024-10-25 22:42
18K
anarch-1.0-r1.apk
2024-10-25 22:42
103K
amule-lang-2.3.3-r13.apk
2024-10-25 22:42
1.6M
amule-doc-2.3.3-r13.apk
2024-10-25 22:42
281K
amule-2.3.3-r13.apk
2024-10-25 22:42
4.2M
ampy-pyc-1.1.0-r5.apk
2024-10-25 22:42
20K
ampy-doc-1.1.0-r5.apk
2024-10-25 22:42
3.4K
ampy-1.1.0-r5.apk
2024-10-25 22:42
16K
amiitool-2-r2.apk
2024-10-25 22:42
8.0K
amdgpu-fan-pyc-0.1.0-r5.apk
2024-10-25 22:42
9.6K
amdgpu-fan-0.1.0-r5.apk
2024-10-25 22:42
14K
amber-0.3.3-r0.apk
2024-10-25 22:42
440K
alttab-doc-1.7.1-r0.apk
2024-10-25 22:42
10K
alttab-1.7.1-r0.apk
2024-10-25 22:42
38K
alpine-lift-0.2.0-r18.apk
2024-10-25 22:42
3.2M
alarmwakeup-utils-0.2.1-r0.apk
2024-10-25 22:42
4.0K
alarmwakeup-libs-0.2.1-r0.apk
2024-10-25 22:42
4.3K
alarmwakeup-dev-0.2.1-r0.apk
2024-10-25 22:42
2.5K
alarmwakeup-dbg-0.2.1-r0.apk
2024-10-25 22:42
18K
alarmwakeup-0.2.1-r0.apk
2024-10-25 22:42
6.3K
aide-doc-0.18.8-r0.apk
2024-10-25 22:42
14K
aide-0.18.8-r0.apk
2024-10-25 22:42
76K
agrep-doc-0.8.0-r2.apk
2024-10-25 22:42
4.1K
agrep-0.8.0-r2.apk
2024-10-25 22:42
8.0K
agate-openrc-3.3.8-r0.apk
2024-10-25 22:42
2.0K
agate-3.3.8-r0.apk
2024-10-25 22:42
756K
afetch-doc-2.2.0-r1.apk
2024-10-25 22:42
14K
afetch-2.2.0-r1.apk
2024-10-25 22:42
8.4K
advancescan-doc-1.18-r1.apk
2024-10-25 22:42
7.2K
advancescan-1.18-r1.apk
2024-10-25 22:42
276K
advancemame-mess-3.9-r4.apk
2024-10-25 22:42
3.3M
advancemame-menu-3.9-r4.apk
2024-10-25 22:42
793K
advancemame-doc-3.9-r4.apk
2024-10-25 22:42
373K
advancemame-data-3.9-r4.apk
2024-10-25 22:42
5.8M
advancemame-3.9-r4.apk
2024-10-25 22:42
12M
admesh-doc-0.98.5-r0.apk
2024-10-25 22:42
23K
admesh-dev-0.98.5-r0.apk
2024-10-25 22:42
4.0K
admesh-0.98.5-r0.apk
2024-10-25 22:42
26K
adjtimex-doc-1.29-r0.apk
2024-10-25 22:42
7.3K
adjtimex-1.29-r0.apk
2024-10-25 22:42
19K
acmetool-doc-0.2.2-r8.apk
2024-10-25 22:42
47K
acmetool-0.2.2-r8.apk
2024-10-25 22:42
4.1M
ace-of-penguins-doc-1.4-r3.apk
2024-10-25 22:42
49K
ace-of-penguins-1.4-r3.apk
2024-10-25 22:42
167K
abc-0_git20240102-r0.apk
2024-10-25 22:42
5.0M
a2jmidid-doc-9-r3.apk
2024-10-25 22:42
4.2K
a2jmidid-9-r3.apk
2024-10-25 22:42
28K
3proxy-openrc-0.9.4-r1.apk
2024-10-25 22:42
1.7K
3proxy-doc-0.9.4-r1.apk
2024-10-25 22:42
25K
3proxy-0.9.4-r1.apk
2024-10-25 22:42
362K
Apache/2.4.62 (Debian) Server at debian.ec.as6453.net Port 80